Schedules and Classes

Course Catalog

Courses for ECE Undergraduate and Graduate Students

Review our lower- and upper-division undergraduate and graduate classes, plus the UC Davis General Catalog and Course Search Tool. Be sure to contact our undergraduate and graduate advisors with any questions you may have!

Lower-Division Undergraduate Courses

  • ENG 6 – Engineering Problem Solving
  • Units: 4.0
    Learning Activities: 
         • Lecture - 3.0 
         • Laboratory - 3.0 
    Prerequisites: (MAT 16A C- or better or MAT 17A C- or better or MAT 19A C- or better or MAT 21A C- or better); (MAT 16B C- or better {can be concurrent} or MAT 17B C- or better {can be concurrent} or MAT 19B C- or better {can be concurrent} or MAT 21B C- or better {can be concurrent})
    Catalog Description: Methodology for solving engineering problems. Engineering computing and visualization based on MATLAB. Engineering examples and applications. GE Credit: SE

    Expanded Course Description
    Summary of Course Content: 

    I. Variables, Assignments, and Expressions
         A. Variables and assignments
         B. Identifiers
         C. Numeric variables 
         D. Numeric expressions
         E. Mathematical constants
         F. String scalars
         G. Accuracy and rounding error 
    II. Logic
         A. Logical variables 
         B. Equality and relational operators 
         C. Logical operators
         D. Logic short circuit evaluation
         E. Logic precedence rules
    III. Scripts/Functions 
         A. Scripts
         B. Comments and clear code 
         C. Custom functions: Basics 
         D. Internal mathematical functions 
         E. Basic input 
         F. Basic output 
    IV. 1D Array
         A. Basics, Intermediary, Advanced 
         B. 1D Array Applications Introduction 
                   1. Functions and 1D arrays
                   2. Incremental programming 
                   3. Audio signal processing 
         C. 1D Array Numeric Applications 
                   1. Rounding 
                   2. Remainder
                   3. Summation
                   4. Complex Numbers 
                   5. Statistics
                   6. Pseudo-random number generators 
                   7. Discrete integer mathematics 
                   8. Rational approximation 
    V. 2D Array Basics 
         A. Basics
                   1. Indexing an element in a 2D array
                   2. Concatenation 
                   3. Multi-element 2D array indexing using integer arrays 
                   4. Indexing rows and columns using a single colon
                   5. Manipulating 2D arrays using a single colon 
                   6. Multi-element 2D array indexing using logical arrays 
                   7. Indexing 2D arrays using the end keyword
         B. Intermediary
                   1. Dimensional properties of arrays 
                   2. Elementary 2D arrays 
                   3. Array manipulation
                   4. Replicating and tiling arrays
                   5. Reshaping arrays
         C. Advanced 
                   1. Find function
                   2. Sorting 
                   3. 2D element-wise arithmetic operators 
                   4. Logical functions for arrays 
                   5. Functions and two-dimensional arrays
    VI. Data Visualization
         A. Basics 
                   1. Simple plotting 
                   2. 2D data plots
                   3. 3D line plots 
                   4. Rectangular data grids
         B. Intermediary
                   1. 3D and higher arrays 
                   2. True color/RGB image
                   3. Indexed color image 
                   4.  Grayscale/intensity images 
                   5. Common image file operations
         C. Advanced 
                   1. Triangular data grids 
                   2. 3D mesh and surface graphs 
                   3. Scatter plots
                   4. Animation
    VII. Branches
         A. If-else statement
         B. Relational operators 
         C. Multiple branches
         D. Logical operators 
         E. Switch statement
    VIII. Loops
         A. While loops 
         B. For loops 
         C. Nested loops 
         D. Loops and arrays 
         E. Break and continue 
    IX. Custom Functions Advanced 
         A. Scripts with local functions 
         B. Functions with local functions 
         C. Nested functions 
         D. Anonymous functions 
         E. Function handles 
         F. Scope of variables
         G. Global variables
         H. Persistent variables
          I. Recursion 
         J. Counting function arguments
    X. Strings and Characters 
         A. Strings
         B. Character vector 
         C. Strings append and combine 
         D. String conversions 
         E. Character vector conversions 
         F. Text comparisons 
         G. Text qualities 
         H. Find text 
         I. Manipulating text
    XI. Data Structures Part 1
         A. Structure basics 
         B. Defining a structure 
         C. Accessing a structure 
         D. Functions for structures 
         E. Structures as function arguments 
         F. Structure with array fields 
         G. Array of structures
    XII. Object Oriented Programming 
         A. Objects: Introduction
         B. Classes: Introduction 
         C. Class properties 
         D. Class methods  
         E. Class examples
         F. Operator overloading 
         G. Mutators, accessors, and private helpers
         H. Handle classes 
         I. Handle class examples
    XIII. Data Structures Part 2 
         A. Databases 
         B. Cell Arrays 
         C. Categorical arrays 
         D. Tables 
         E. Time Series Data
    XIV. Graphical User Interfaces 
         A. Graphical objects 
         B. Sequential GUI programming
         C. Event-driven GUI programming
    XV. Applied Mathematics 
         A. Interpolation 
         B. Curve fitting: Least squares regression
         C. Numerical integration 
         D. Numerical differentiation 
         E. Nonlinear equations: Zeros
    XVI. Linear Algebra Basics 
         A. Vectors 
         B. Matrices
         C. Matrix transpose
         D. Matrix calculations 
         E. Square matrices
         F. Elementary square matrices 
         G. Linear systems
         H. Square matrices: Solving Ax = b
         I. Triangular matrix linear equation solvers
    XVII. Linear Algebra Advanced (optional)
         A. Gaussian elimination 
         B. Gauss-Jordan elimination
         C. Matrix rank
         D. Properties of the row-echelon matrices 
         E. Vector spaces 
         F. Row vector and column vector space 
         G. Null vector space 
         H. Solutions to Ax = b 
         I. Least squares solutions to Ax = b 
         J. Eigenvalues and eigenvectors

    Illustrative Reading: 

    Online textbook (https://www.zybooks.com/catalog/programming-in-matlab/(https:/www.zybooks.com/catalog/programming-in-matlab/)), handouts, and online resources provided by instructor. 

    Potential Course Overlap: None

    Final Exam: Yes

  • ENG 17 – Circuits I
  • Units: 4 (3 Lecture/1 Discussion)
    Prerequisites: MAT21C
    Catalog Description: Basic electric circuit analysis techniques, including electrical quantities and elements, resistive circuits, transient and steady-state responses of RLC circuits, sinusoidal excitation and phasors, and complex frequency and network functions.  GE Credit: VL, SE

    Expanded Course Description: 
    I. Foundations of Electric Circuits and Circuit Elements
         A. Concepts of charge, current, voltage, power, and energy
         B. Reference directions and circuit connections
         C. Active and passive circuit elements
         D. Resistors and Ohm’s Law
         E. Independent sources
         F. Dependent sources
         G. The Ideal Operational Amplifier
         H. Kirchoff’s voltage law
         I. Kirchoff’s current law
    II. Resistive Circuit Analysis
         A. Series and parallel circuits
         B. Node-voltage analysis
         C. Mesh-current analysis
    III. Circuit Theorems
         A. Source transformation
         B. Superposition
         C. Thevenin and Norton equivalent circuits
         D. Maximum Power transfer
    IV. Energy Storage Elements
         A. Capacitors and energy stored in a capacitor
         B. Inductors and energy stored in an inductor
         C. Series-parallel connections of inductors and capacitors
    V. Response of RC and RL Circuits
         A. First order circuits
         B. Step response of first order circuits to a non-constant source
         C. Transient versus steady-state analysis
    VI. Response of Circuits with Two Energy Storage Elements
         A. Second order circuits
         B. Natural response and types of second order natural response
         C. Forced response
         D. Complete response
    VII. Sinusoidal Steady-State Circuit Analysis
         A. Sinusoidal inputs and sinusoidal steady-state responses
         B. Phasors and complex numbers
         C. Impedance and admittance
         D. Kirchhoff’s laws
         E. Node-voltage and mesh-current analysis methods using phasors
         F. Superposition
         G. Source transformations
         H. Thevenin and Norton equivalent circuits
         I. Complete response with sinusoidal sources
         J. The ideal transformer
    VIII. AC Steady-State Power
         A. Instantaneous power
         B. Average power
         C. Maximum power transfer
         D. Power factor

  • EEC 1 – Introduction To Electrical and Computer Engineering
  • Units: 2.0
    Learning Activities: 
        • Lecture - 1.0
        • Laboratory - 1.0
    Prerequisites: None
    Catalog Description:  Introduction to Electrical & Computer Engineering with focus on sub-disciplines of Electrical & Computer Engineering, engineering design, and problem solving. Microcontrollers, analog circuits, signal processing, and communications links. Application of topics to create a functional device. GE Credit: SE

    Expanded Course Description:
    Brief list of topics covered: This course aims to introduce a variety of Electrical and Computer Engineering topics to
    freshman students in a lab-based environment. In the 21st century Electrical and Computer Engineering has become a diverse field with a wide range of sub-topics ranging from device physics and materials science to systems engineering, big data, and machine learning. This course will consist of 5 lab projects aimed to introduce students to topics in Computer Engineering and Embedded Systems, Physical Electronics and Communications Systems, Analog Systems and Filters, Digital Signal Processing, and Systems Engineering. Each lab will be complemented by an in-class discussion of the broader context of these concepts and how they are related to real-world engineered systems. The course will introduce these topics at general level so that students can understand the breadth of Electrical and Computer Engineering as a field without requiring an in-depth understanding of the underlying theory.
    Topics Covered: 
    I. Course, Department. and Introduction to UC Davis 
    II. Success in ECE at UC Davis 
         A. Ethics in Engineering and the Academic Code of Conduct 
         B. Setting Goals and Time Management 
         C. Study Skills 
         D. Importance of Communication Skills 
         E. IEEE, networking, and extracurricular activities
    III. Overview of Electrical and Computer Engineering 
    IV. Introduction to Microcontrollers and Embedded Systems 
    V. Introduction to Physical Electronics and Devices 
    VI. Introduction to Analog Circuits
    VII. The Breadth of Communication Systems 
    VIII. Understanding Frequency Space, Signal Processing, and Filtering 
    IX. Systems Design and Engineering 

    Instructional Materials: "Fundamental of Electrical Engineering" by Don Johnson
     
  • EEC 7 – Introduction to Programming and Microcontrollers
  • Units: 4.0
    Learning Activities: 
       • Lecture - 3.0
       • Laboratory - 2.0 
    Prerequisites: None
    Catalog Description: Programming computers using C/C++ languages. Software engineering and object-oriented design. Programming for hardware devices.

    Expanded Course Description: 
    I. The Programming Environment 
    II. C++ Programming 
         A. Data Types 
         B. Expressions and Statements 
         C. Control Flow 
         D. Functions 
         E. Pointers and Dynamic Memory 
         F. Classes 
         G. Recursion 
    III. Software Engineering 
         A. Large Program Management and Design 
         B. Use of Libraries 
         C. Debugging Techniques 
    IV. Programming for Hardware
         A. Differences between C/C++
         B. Microcontrollers/Embedded Systems 
         C. General Purpose Input/Output
         D. Interrupts 
         E. Counters and Timers 
         F. Look-up Tables 
         G. Introduction to ADC and DACs 

    Computer Usage: The class will require extensive use of computers in laboratory assignments. 
    Engineering Design Statement: Students participate in homework/lab projects which include open-ended design to meet specifications. Since there is no unique solution, some solutions are better than others, and students are required to iteratively improve their solutions.
    Professional Component: Engineering Foundation
    Engineering Science: 3 credits
    Engineering Design: 1 credit
     
  • EEC 10 – Introduction to Digital and Analog Systems
  • Units: 4 (2 Lecture/1 Discussion /1 Laboratory)
    Prerequisites: (ECS 030 or ECS 036B or EEC 007); (ENG 17 or ENG 17V); consent of instructor 
    Catalog Description: An interactive and practical introduction to fundamental concepts of electrical and computer engineering by implementing electronic systems, which can be digitally controlled and interrogated, with a programmable microcontroller with the ability to program the electrical connections between analog and digital components.

    Expanded Course Description:
    I. Fundamentals of Microcontrollers
         A. CPU
         B. Digital Memories
         C. General Purpose Input Output
         D. Peripherals (Switches and Light Emitting Diodes)
    II. Digital Interfaces and Displays
         A. Digital Communications
         B. Digital Interface Standards (RS232,USB,I2C,SPI,GPIB)
         C. Universal Asynchronous Receiver Transmitter
         D. Liquid Crystal Displays
    III. Human Interfaces
         A. Digital and Analog Signal Processing
    IV. Digital Logic
         A. Logic Gates
         B. Flip-Flops
         C. Counters
         D. Lookup Tables
         E. State Machines
    V. Analog Processing
         A. Digital to Analog Convertors
         B. Analog to Digital Convertors
         C. Multiplexers
    VI. Interfacing Analog Sensors
         A. Analog Input Conditioning
         B. Operational Amplifiers
         C. Programmable Gain Amplifier
         D. Sampling
    VII. Interfacing Digital Sensors
         A. Digital Input Processing
    VIII. Waveform Generation
         A. Fourier Analysis
         B. Frequency and Time Domain Measurements
         C. Pulse Width Modulation

  • EEC 18 – Introduction to Digital System Design (Formerly 180A)
  • Units: 5.0 
    Learning Activities: 
       • Lecture - 3.0
       • Laboratory - 4.0
    Prerequisites: ENG 17 or ENG 17V 
    Catalog Description: Introduction to digital system design including combinational logic design, sequential and asynchronous circuits, computer arithmetic, memory systems and algorithmic state machine design; computer-aided design (CAD) methodologies and tools.

    Expanded Course Description:
    I. Combinational Logic Design
         A. Boolean algebra, Truth Tables and Maps
         B. Use of MSI and LSI Integrated Circuits, Adders, Multiplexers 
         C. ALU Design with MUX/DEMUX, Decoders/Encoders
         D. Programmable Logic Arrays
    II. Sequential Circuit Design
         A. Design of Flip-Flops (JK, SR, D, T; Latches, Master-Slave, Edge-Triggered)
         B. State Diagrams and State Tables (Present State/Next State Behavior)
         C. Flip-Flop Excitation 
         D. Implementation of Systems 
    III. Memory Systems 
         A. Memory Devices Decoding and Addressing Hierarchy 
    IV. Computer Arithmetic
         A. Number Systems
         B. Multiplication and Division Systems
    V. Algorithmic State Machines 
    VI. Flow Charts Control Sequence Data Path 

    Laboratory Projects: 
       • Lab 1A: Lab Instrumentation
       • Lab 1B: Electronic Characteristics of Logic Circuits 
       • Lab 2A: Fundamental Logic Gates 
       • Lab 2B: Combinational Logic Networks 
       • Lab 3: Logic Design with Functional Logic, PLD's
       • Lab 4: Flip-Flops
       • Lab 5: Counters and Shift Registers 
       • Lab 6: Sequential Circuit Design 
       • Lab 7-8: Algorithmic State Machine Design 

    Instructional Materials: "Contemporary Logic Design" by Benjamin-Cummings and R. Katz
    Computer Usage:  Extensive self-paced CAD lab instruction using tutorials to learn and use CAD tools for lab experiments. After first two weeks of quarter, the scheduled second weekly lab meeting will be replaced by students' flexible attendance at department's CAD facility. Lab instructor will be available during the previously allocated lab time for assistance and consultation on CAD. Lab CAD exam will be given.
    Engineering Design Statement: Laboratory projects are practical examples which may be solved by various design methods considering timing, power and economical issues. They require the students to perform designs of varying complexity using only a limited selection of standard logic chips available in the laboratory. The assignments have no unique solutions. Students use circuit breadboards to construct and test their designs employing dual-trace, delay-triggered oscilloscopes, digital voltmeters, and waveform generators. The descriptions in the laboratory sheets become shorter as the course progresses, and the students' designs must work considering practical timing, power and loading requirements. Instructors base part of the grade on the quality of the final design. Additional design experience is gained by learning a CAD system as part of the laboratory requirements. Many test and homework questions have non-unique solutions to stated objectives and require the use of design methodology.
    Professional Component: Engineering Foundation
    Engineering Science: 3 credits
    Engineering Design: 1 credit

  • EEC 90C – Research Group Conferences In Electrical And Computer Engineering
  • Units: 1.0
         • Discussion - 1.0
    Prerequisites: Consent of Instructor. Lower division standing.
    Catalog Description: Research group conferences. May be repeated for credit.
    Expanded Course Description: N/A.
  • EEC 90X – Lower Division Seminars In Electrical And Computer Engineering
  • Units: 1 - 4 (1 - 4 Seminar)
    Prerequisites: Consent of instructor.
    Catalog Description: Examination of a special topic in a small group setting.
    Expanded Course Description: N/A.
  • EEC 92 – Internship In Electrical And Computer Engineering
  • Units: 1 - 4 (1 - 4 Seminar)
    Prerequisites: Lower division standing; project approval prior to period of internship.
    Catalog Description: Examination of a special topic in a small group setting.
    Expanded Course Description: N/A.
  • EEC 98 – Directed Group Study
  • Units: Variable
    Prerequisites: Consent of instructor.
    Catalog Description: Directed group study.
    Expanded Course Description: N/A.
  • EEC 99 – Special Study For Lower Division Students
  • Units: Variable
    Prerequisites: Consent of instructor.
    Catalog Description: Special study for lower division students.
    Expanded Course Description: N/A.

Upper-Division Undergraduate Courses

  • ENG 100 – Electronic Circuits and Systems
  • Units: 3 (2 Lecture/1 Laboratory)
    Prerequisites: ENG 17 or ENG 17V (C- or better)
    Catalog Description: Introduction to analog and digital circuit and system design through hands-on laboratory design projects. GE Credit: SE, VL
    Expanded Course Description:
    I. Operational Amplifiers
         A. Ideal operational amplifier
         B. Analysis of circuits containing ideal operational amplifiers
         C. Design using operational amplifiers
         D. Characteristics of practical operational amplifiers
    II. Frequency Response
         A. Gain, phase shift and the network function
         B. Bode plots
         C. Resonant circuits
         D. Frequency response of operational amplifier circuits
    III. Laplace Transform
         A. Definition and properties
         B. Inverse transform – partial fraction expansion
         C. Transfer function and impedance
         D. Circuit analysis using impedance and initial conditions
    IV. Digital Logic
         A. Binary numbers and arithmetic
         B. Digital logic circuits
         C. Boolean algebra
         D. Simplification of Boolean functions
    V. Logic Design
         A. Combinational logic
         B. MSI and LSI design
         C. Sequential logic
    VI. Digital Devices
         A. Counters
         B. Registers
         C. Memory
  • EEC 100 – Circuits II
  • Units: 5 (3 Lecture/1 Discussion/1 Laboratory)
    Prerequisites: (ENG 017 C- or better or ENG 17V C- or better); (MAT 022B or MAT 027B). Restricted to the following majors: Electrical Engineering, Computer Engineering, Computer Science/Engineering, Electrical Engineering/Materials Science, Optical Science Engineering, Biomedical Engineering, Electrical Engineering Graduate Students.
    Catalog Description: Theory, application and design of analog circuits. Methods of analysis including frequency response, SPICE simulation, and Laplace transform. Operational amplifiers and design of active filters. GE Credit: QL, SL, VL

    Expanded Course Description:
    I. Sinusoidal Steady-State Analysis
         A. Response to sinusoidal source
         B. Phasors
         C. Impedance as a phasor representation of circuit elements
         D. Techniques of circuit analysis using phasor representations
    II. The Operational Amplifier
         A. Introduction to the operational amplifier
         B. The ideal operational amplifier
         C. Inverting, non-inverting, summing, and difference amplifiers
         D. Non-ideal models of operational amplifiers
    III. Passive and Active Filters
         A. The frequency response
         B. Passive low-pass, high-pass, band-pass, and band-reject filters
         C. Active low-pass, high-pass, band-pass, and band-reject filters
         D. The Butterworth filter
         E. Bode diagrams
    IV. The Laplace Transform
         A. Definition of the Laplace transform
         B. Laplace transform of the step and the impulse functions
         C. Properties of the Laplace transform
         D. Inverse transforms and partial fraction expansion
         E. Techniques of circuit analysis using the Laplace transform
         F. The transfer function
         G. The impulse response and the convolution integral
    V. The Fourier Series
         A. Introduction to Fourier series of periodic signals
         B. The trigonometric Fourier series
         C. The complex Fourier series
         D. Fourier series in circuit analysis
    VI. Two-Port Circuits
         A. Two-port parameters
         B. Analysis of two-port circuits
         C. Interconnected two-port circuits

  • EEC 105A – EE-Emerge 1
  • Units: 1 (1 Workshop) 
    Prerequisites: Pass One restricted to Electrical & Computer Engineering Junior and Sophomore-level students.
    Catalog Description: Work in groups to conceive, design and prototype electronic exhibits to promote engineering to the public.
    Expanded Course Description: 105A is the first course in the 105A, B and C sequence. In 105A, offered in the Fall, the focus is on communication of ideas (written and oral) and technical dynamics. After a study of effective technical exhibits to the public, each participant proposes an idea for an exhibit. After review of proposals by class, project ideas are selected and working teams (minimum three participants) are formed. Each team refines the scope of the exhibit, and explore some critical elements given technical and resource constraints. A decision of projects moving forward is made by the end of the quarter. In 105B the projects will be implemented and in 105C presentations are made to the public.
  • EEC 105B – EE-Emerge 2
  • Units: 1 (1 Workshop) 
    Prerequisites: Pass One restricted to Electrical & Computer Engineering Junior and Sophomore-level students.
    Catalog Description: Work in groups to conceive, design and prototype electronic exhibits to promote engineering to the public.
    Expanded Course Description: 105B is the second course in the 105A, B and C sequence. In 105A projects are conceived. In 105B, offered in the Winter the focus is on project management and technical implementation. Enrollment in the course expands to include additional students. In 105C presentations are made to the public.
  • EEC 105C – EE-Emerge 3
  • Units: 1 (1 Workshop)
    Prerequisites: EEC 105B
    Catalog Description: Work in groups to present electronic exhibits to the public.
    Expanded Course Description: 105C is the third course in the 105A, B and C sequence. In 105A projects are conceived. In 105B the projects are implemented. In 105C, offered in the Spring Quarter, the focus is on communication to the public of the engineering principles underlying the exhibit. Presentations and demonstration are given at public events (e.g. Picnic Day, Undergraduate Research, Scholarship & Creative Activities Conference, Engineering Design Showcase, Bay Area Maker’s Fair). Enrollment in 105C is restricted to students who completed 105B.
  • EEC 110A – Electronic Circuits I
  • Units: 4 (3 Lecture; 1 Discussion)
    Prerequisites: EEC 100; (EEC 140A (can be concurrent) or EEC 140AV (can be concurrent) or EEC 111 (can be concurrent))
    Catalog Description: Use and modeling of nonlinear solid-state electronic devices in basic analog and digital circuits. Introduction to the design of transistor amplifiers and logic gates. GE Credit: SE, VL
    Expanded Course Description:
    I. Introduction to electronic systems and design
         A. Analog and digital systems
         B. Classification of signals
         C. Explain difference between analysis and design (e.g., the form of the result is important, it must be simple enough to relate performance to individual devices)
    II. Electronic Devices Recap
         A. PN junctions and diodes
         B. Bipolar junction transistor operation
         C. MOS transistor operation
    III. Analog electronic systems and design
         A. Introduction to small-signal linearity and modeling
         B. Analysis methodology – separate large-signal DC bias analysis and small-signal AC signal analysis
         C. Amplifier models (unilateral two ports), DC- and AC- coupled response
         D. DC analysis of single-stage transistor amplifiers: common-emitter, common-emitter with degeneration, emitter follower and common base
         E. Small-signal midband analysis of single-stage transistor amplifiers
         F. Frequency response of single transistor amplifiers (Miller’s theorem and approximation, open circuit time constants). Emphasis on common emitter
         G. Feedback: conceptual, theoretical and practical circuit level to feedback around multistage cascade amplifiers
         H. Stability and Compensation
    IV. Digital circuits
         A. Introduction to logic: binary logic gates, truth tables, Boolean algebra
         B. Static inverter specifications
         C. Dynamic inverter specifications
         D. CMOS logic
  • EEC 110B – Electronic Circuits II
  • Units: 4 (3 Lecture/1 Laboratory)
    Prerequisites: EEC 110A
    Catalog Description: Analysis and design of integrated circuits. Single-stage amplifiers, cascaded amplifier stages, differential amplifiers, current sources, frequency response, and return-ratio analysis of feedback amplifiers.  GE Credit: SE, VL
    Expanded Course Description:
    I. Single-stage amplifiers (common emitter, common emitter with degeneration, common collector
    II. Differential Amplifiers
         A. Large-signal analysis
         B. Small-signal analysis with half circuits
         C. Offset
    III. Current sources
    IV. Output stages
    V. Operational amplifiers
    VI. Frequency response
         A. Open-circuit time constants
         B. Short-circuit time constants
         C. Miller effect
    VII. Return-ratio analysis of feedback amplifiers
  • EEC 111 – Digital Electronic Circuits
  • Units: 4 (3 Lecture/1 Laboratory)
    Prerequisites: EEC 100
    Catalog Description: MOS device fundamentals, introduction to the design of CMOS logic gates, layout, circuits, and modeling. Examination of voltage transfer characteristics, and propaganda delay. Only 3 units of credit for those who have completed EEC 140A; only 2 units for those who have completed EEC 110A. GE: SE.
    Expanded Course Description:
    I. MOS Device Fundamentals
         A. Energy Bands/Semiconductors
         B. PN junctions and Current Transport (Drift/Diffusion)
         C. MOS capacitor
         D. Inversion layers and the transistor channel
         E. Device potentials and the threshold voltage
         F. The MOS transistor: basic operational characteristics
         G. The body effect: substrate bias
         H. MOS vs. Bipolar junctions
    II. VLSI Fabrication/wafer processing steps
         A. Bulk MOS transistor fabrication
         B. Interconnects
    III. Basic digital circuits
         A. Small-Signal and Large-Signal Models
         B. Static inverter specifications (NMOS/CMOS)
         C. CMOS logic
         D. Transistor Sizing
    IV. MOS Logic Gates
         A. Switching Time Analysis of CMOS Logic
         B. Regenerative Logic Circuits, Sequential Elements, and Clocking
         C. Memory Circuits
         D. Physical Design
         E. Gate arrays/Standard cells/VLSI layout
         F. Scaling
  • EEC 112 – Communication Electronics
  • Units: 4 (3 Lecture/1 Laboratory)
    Prerequisites: EEC 110A; EEC 150A; EEC 110B recommended
    Catalog Description: Electronic circuits for analog and digital communications, including oscillators, mixers, tuned amplifiers, modulators, demodulators, and phase-locked loops. Circuits for amplitude modulation (AM) and frequency modulation (FM) are emphasized. GE Credit: SE
    Expanded Course Description:
    I. Impedance matching networks
    II. Oscillators
    III. Mixers
    IV. Tuned Amplifiers
    V. Amplitude and Frequency Modulators
    VI. Amplitude and Frequency Demodulators
    VII. Phase-locked Loops
    VIII. Homodyne and Heterodyne Receivers
  • EEC 113 – Power Electronic Circuits
  • Units: 4 (2 Lecture/2 Laboratory)
    Prerequisites: EEC 110A or (EEC 111 and EEC 157A or EEC 157AV)
    Catalog Description: Electronic circuits for power applications, including linear voltage regulators, switching DC-DC converters, DC-AC converters (inverters) and AC-DC converters (rectifiers). The control loop and stability of circuits are also analyzed.
    Expanded Course Description:
    I. Introduction to Power Electronics
         A. Applications of Power Electronics
         B. Types of Power Electronic Circuits
         C. Power Semiconductor Devices
         D. Characteristics and Specifications of Switches
    II. Regular Circuits
         A. Zener diodes and regulators
         B. Series and Shunt Linear Regulators
              i. Darlington-pair regulators
         C. Low-Dropout Regulators (LDOs)
         D. Control loop and stability of LDOs
              i. Effect of Equivalent Series Resistance (ESR) of Output Capacitor
    III. Switching DC-DC Converters
         A. DC Chopper
             i. Pulse-Width Modulation (PWM) Control
             ii. Harmonics of switching frequency
         B. The Buck converter
             i. Continuous-Current Mode (CCM): voltage and current relationships in steady-state, inductor design, output voltage ripple and effect of ESR capacitors on it
             ii. Discontinuous-Current Mode (DCM)
             iii. Buck converter control: small-signal transfer function, stability analysis using Bode plots
             iv. Current-mode control
         C. The Boost converter
             i. Continuous-Current Mode (CCM): voltage and current relationships in steady-state, inductor design output voltage ripple and effect of ESR of capacitor on it 
             ii. Discontinuous-Current mode (DCM)
         D. The Buck-Boost converter
             i. Continuous-Current Mode (CCM): voltage and current relationships in steady-state, inductor design, output voltage ripple and effect of ESR capacitors on it
    IV. DC-AC Converters (Inverters)
         A. Full-bridge converter (the square-wave inverter)
         B. Fourier series analysis: total harmonic distortion (THD)
         C. Amplitude and harmonic control
         D. Multi-level inverters
         E. PWM Inverters
    V. AC-DC Converters (Rectifiers)
         A. Full-wave rectifier
         B. Center-tapped transformer rectifier
         C. Capacitance output filter
         D. Full-wave rectifier with an LC filtered output
         E. Full-wave rectifier with RL load
         F. Controlled full-wave rectifiers
         G. Power factor correction
    Laboratory Experiments: This lab series aims to give students a practical hands-on introduction to various power electronics topologies and illustrate how theoretical analysis and conceptual modeling directly impacts circuit performance when implemented. As such, most labs include a design problem and theoretical commentary, followed by a practical implementation on either breadboard or a Texas Instruments Power Management Lab Kit (TI PMLK). Several labs also include an OrCAD PSPICE simulation component.    
  • EEC 116 – VLSI Design
  • Units: 4 (Lecture 3/Laboratory 1)
    Prerequisites: EEC 110A or EEC 111; EEC 018 or EEC 180A recommended
    Catalog Description: CMOS devices, layout, circuits, and functional units; VLSI fabrication and design methodologies.  GE Credit: SE
    Expanded Course Description:
    I. CMOS devices
         A. NMOS transistor, basic characteristics
         B. PMOS transistor, basic characteristics
         C. Threshold voltage
         D. Body effect
         E. Basic DC equations
    II. VLSI Fabrication Technologies
         A. Silicon wafer processing steps
         B. Bulk MOS transistor fabrication
         C. Interconnect
         D. Design rules
         E. Other technologies: multiple wells, SOI, bipolar
    III. Simple CMOS Circuits
         A. Static SMOS inverter
         B. Transistor sizing
         C. Noise margin
         D. Gate delay
         E. Power dissipation
         F. Transmission gate
    IV. Other CMOS Circuits
         A. More complex logic static gates
         B. Overview of dynamic circuits
    V. Design Methodologies
         A. Modeling transistors
         B. Modeling interconnect and loads
         C. Circuit simulation using SPICE
         D. Full-custom circuit layout using MAGIC
    VI. Design of More Complex Structures
         A. Arithmetic circuits
         B. Memories
         C. Chip I/O
         D. Power distribution
  • EEC 118 – Digital Integrated Circuits
  • Units: 4 (3 Lecture/1 Laboratory)
    Prerequisites: EEC 110A; (EEC 018 or EEC 180A) 
    Catalog Description: Analysis and design of digital integrated circuits. The emphasis is on MOS logic circuit families. Logic gate construction, voltage transfer characteristics, and propagation delay. Regenerative circuits, RAM’s, ROM’s, and PLA’s.  GE Credit: SE
    Expanded Course Description:
    I. The Ideal Logic Gate, Definitions
         A. Logic levels, noise margins
         B. Switching speed
         C. Review of basic logic functions and symbols
    II. The MOS Transistor
         A. Large signal equations and models, regions of operation
         B. Static I-V characteristics
         C. Device capacitances
         D. CMOS processes, parasitics
    III. Interconnect
         A. Wire parasitics
         B. Wire models
    IV. MOS Logic Gates
         A. Resistively loaded inverter, load line analysis, voltage transfer characteristic
         B. NMOS and pseudo-NMOS inverter
         C. The CMOS inverter
         D. Static CMOS logic
         E. Dynamic CMOS logic
         F. Pass transistor logic
         G. Low power design
         H. Arithmetic structures
    V. Switching Time Analysis of CMOS Logic
         A. Definition of propagation delay times
         B. Piecewise linear analysis for estimating switching times
         C. Calculation of the equivalent load capacitance
         D. Logical effort
         E. Driving long interconnects
    VI. Regenerative Logic Circuits, Sequential Elements, and Clocking
         A. A simple bistable circuit
         B. SR latch, D flip-flop
         C. Static and dynamic flip-flops
         D. Estimating switching speed of regenerative circuits
         E. One-, Two-, and Four-Phase clocking
    VII. Semiconductor Arrays and Memories
         A. The PLA
         B. ROM
         C. EPROM and nonvolatile memory
         D. RAM – Static and dynamic storage cells
         E. Address decoders and sense amplifiers
    VIII. Physical Design
         A. Gate arrays
         B. Standard cells
         C. VLSI layout
    IX. Advanced and Alternative Topics
         A. Bipolar and BiCMOS logic gates
         B. The Schmitt trigger
         C. Multivibrators
         D. Self-timed and asynchronous techniques
         E. Differential logic styles
  • EEC 130A – Introductory Electromagnetics I
  • Units: 4 (3 Lecture/1 Discussion)
    Prerequisites: MAT 21D; (PHY 9C or PHY 9HD); (ENG 17 or ENG 17V)
    Catalog Description: Basics of static electric and magnetic fields and fields in materials. Work and scalar potential. Maxwell’s equations in integral and differential form. Plane waves in lossless media. Lossless transmission lines. GE credit: SE
    Expanded Course Description:
    I. Maxwell’s Equation in Integral Form
         A. Source equations for static fields (Coulomb’s amd Biot-Savart’s Laws)
         B. Full Maxwell’s equations, integral form – Heuristic er, mr
         C. Equivalence of Gauss/Coulomb’s and Ampere’s/Biot-Savart’s Laws
         D. Simple examples of fields using Gauss and Ampere’s Laws
         E. Faraday’s law, induction
    II. Maxwell’s Equations in Differential Form-Waves in Lossless Media
         A. Gauss laws for electric and magnetic fields
         B. Ampere’s Law and Faraday’s Law
         C. Continuity equation, displacement current
         D. Wave equation in source free lossless media
         E. Plane waves propagating along an axis – wave impedance
    III. Materials
         A. Conductors and conduction current
         B. Dielectric materials – polarization
         C. Linear magnetic materials – magnetization
         D. Classification of materials
         E. Boundary conditions for the fields
         F. Power and Poynting vector – energy densities
    IV. Static Electric and Magnetic Fields
         A. Maxwell’s equations for statics
         B. Electrostatic potential – Laplace’s equation
         C. Capacitance – electric energy storage
         D. Self-inductance – magnetic energy storage
         E. Simple boundary value problems (transmission line geometrics)
    V. Lossless Transmission Lines
         A. Transmission line equations with lumped circuit parameters
         B. Wave equation for transmission lines
         C. Current and voltage waves – characteristic impedance
         D. Reflection at unmatched loads – Crank diagram
         E. Input impedance
         F. Quarter wavelength matching
  • EEC 130B – Introductory Electromagnetics II
  • Units: 4 (3 Lecture/1 Discussion)
    Prerequisites: EEC 130A
    Catalog Description: Plane wave propagation in lossy media, reflections, guided waves, simple modulated waves and dispersion, and basic antennas. GE Credit: SE
    Expanded Course Description:
    Plane Wave Propagation in Unbounded Media
    I. Maxwell’s equations
         A. Wave propagation in free space
         B. Wave propagation in general media
         C. Energy flow and the Poynting vector
         D. Polarization
    II. Reflection and transmission of waves
         A. Boundary conditions
         B. Various media at normal incidence
         C. Various media at oblique incidence
         D. Power flow at an interface
         E. Standing waves
         F. Plane waves at multiple interfaces
    III. Waveguides
         A. Waveguide condition
         B. Parallel plate metallic waveguide
         C. Symmetric dielectric planar waveguide
         D. Rectangular metallic waveguide
    IV. Radiation
         A. Scalar and vector potential
         B. Radiation from time-varying charges
         C. Radiation from Hertzian Dipole
         D. Radiation gain and radiation resistance
         E. Radiation from arrays of Hertzian dipoles
    V. Group Velocity and Dispersion
         A. Phase and group velocity
         B. The origins of material and waveguide dispersion
         C. Dispersion examples
  • EEC 132A – RF and Microwaves in Wireless Communication I
  • Units: 5 (3 Lecture/2 Laboratory)
    Prerequisites: EEC 110A; EEC 130B
    Catalog Description: The study of Radio Frequency and Microwave theory and practice for design of wireless electronic systems. Transmission lines, microwave integrated circuits, circuit analysis of electromagnetic energy transfer systems, the scattering parameters.  GE Credit: SE
    Expanded Course Description:
    I. Wireless Systems and Architectures
         A. Wireless System Fundamentals
         B. Terrestrial Wireless Systems
         C. Satellite Based Systems
         D. Wireless Applications to Defense
    II. Techniques for Energy Transfer in Wireless Systems
         A. Analysis of Solid Conductors
               i. Internal impedance of a plane conductor
               ii. Power loss in a plane conductor
               iii. Current distribution in a circular wire
               iv. Impedance of round wires at high frequencies
         B. Transmission Lines and Waveguides
               i. Transmission line-field analysis, distributed circuit analysis, transmission line parameters, terminated transmission line
               ii. Coaxial and two wire lines and parameters
               iii. Rectangular and circular waveguides
         C. Microwave Integrated Circuit Lines
               i. Stripline realizations and parameters
               ii. Microstripline realizations and components
               iii. Coupled lines
    III. Wireless System Circuit Analysis Techniques
         A. Impedance Descriptions of Transmission Line and Waveguide Elements
         B. Two-port Junctions
         C. The Scattering Parameters
         D. Other Useful High Frequency Circuit Descriptions
    IV. Passive Circuits and Devices for Wireless Systems
         A. Impedance Transformation and Matching
               i. Impedance matching with reactive elements
               ii. Stub matching networks
               iii. Quarter wavelength transformers
               iv. Binomial and Chebyshev transformers
               v. Computer oriented design techniques
  • EEC 132B – RF And Microwaves In Wireless Communication II
  • Units: 5 (3 Lecture/1 Laboratory/1 Discussion)
    Prerequisites: EEC 132A
    Catalog Description: Passive RF and microwave device analysis, design, fabrication, and testing for wireless applications. RF and microwave filter and coupler design. Introductory analysis and design of RF and microwave transistor amplifiers. GE Credit: SE
    Expanded Course Description:
    I. Passive RF and Microwave Devices and Circuits – Part I
         A. Introduction to RF and Microwave Filters
               i. The insertion loss technique
                     1. maximally flat and equiripple power loss specifications
                     2. g parameters
                     3. transmission line filter design
                     4. computer-oriented design
               ii. Exact design of TEM Line filters
                     1. Kuroda’s identities
                     2. coupled line filters
    II. Passive RF and Microwave Devices and Circuits – Part II
         A. Analysis and Design of Directional Couples
               i. General Properties
                     1. scattering matrix analysis
                     2. directivity, coupling, losses
               ii. Waveguide couple realizations
               iii. Stripline coupler realizations
                     1. coupled stripline analysis –  odd and even numbers
                     2. broadbanding techniques
                     3. computer oriented design techniques
         B. Hybrid Junctions
    III. Active High Frequency Devices
         A. linear Amplification at high Frequencies
               i. microwave transistors
         B. Introductory high frequency amplifier design
               i. design using scattering parameters
         C. Negative resistance amplifiers
               i. negative resistance devices
               ii. reflection amplifiers
  • EEC 132C – RF and Microwaves in Wireless Communication III
  • Units: 5 (3 Lecture/1 Laboratory/1 Discussion)
    Prerequisites: EEC 132B
    Catalog Description: RF and microwave amplifier theory and design, including transistor circuit models, stability considerations, noise models and low noise design. Theory and design of microwave transistor oscillators and mixers. Wireless system design and analysis. GE Credit: SE
    Expanded Course Description:
    I. Review of RF/Microwave Systems for Wireless Communications
    II. Microwave Amplifiers
         A. Circuit models for microwave transistor characteristics
         B. Transistor parameters
               i. Measurement and modeling of microwave transistor characteristic
         C. Stability and amplifier design
         D. Design using scattering parameters
               i. Narrow band design
                     1. Design and fabrication of a narrow band low noise microwave transistor
               ii. Low Noise Design
                     1. Noise in two ports
                     2. Noise Figure
                     3. Optimum Design
               iii. Wide band Design
    III. RF and Microwave Oscillators
         A. One port negative resistance oscillators
         B. Two port negative resistance oscillators
         C. Oscillator configuration
    IV. Microwave Mixers
    V. Wireless Systems and Propagation Phenomena
  • EEC 133 – Electromagnetic Radiation And Antenna
  • Units: 4 (3 Lecture/3 Laboratory)
    Prerequisites: EEC 130B
    Catalog Description: Properties of electromagnetic radiation; analysis and design of antennas: ideal, cylindrical, small loop, aperture, and arrays; antenna field measurements. GE Credit: SE
    Expanded Course Description:
    I. Fundamental Concepts
         A. Review of System Concepts
         B. Review of Fundamentals of Electromagnetics
         C. Point Sources
         D. Power and Radiation
         E. Radiation Intensity
         F. Examples
         G. Directivity
         H. Gain Examples
         I. Field Patterns
         J. Antenna as an Aperture
         K. Examples
         L. Friis Transmission Formula
    II. Ideal Linear Antennas
         A. Short Dipole
    III. Thin Linear Antennas
         A. Far Field Equations
         B. Radiation Resistance or Wire Antennas
         C. Log Periodic Antennas
    IV. Cylindrical Antennas
         A. Hallen's Integral Equation
         B. Current Distributions
         C. Output Impedance
    V. Small Loop Antennas
         A. Fields from a Circular Loop Antenna
         B. Radiation Resistances or Small Loop Antennas
    VI. Aperture Antennas
         A. Huygens Principle and Aperture
         B. Application to Horn Antennas
               i. H Plane Sectional Horn
               ii. E Plane Sectional Horn
               iii. Pyramidal Horn
    VII. Arrays
    VIII. Antenna Measurements and Analysis
         A. Dipoles
         B. Monopoles
         C. Simple Arrays
         D. E and H Plane Horns
         E. Pyramidal Horns
  • EEC 134AB – RF/Microwave Systems Design 
  • Units: 3 (3 Workshop; 6 Laboratory)
    Prerequisites: EEC 130B or EEC 110B or EEC 150A
    Catalog Description: Board-level RF design, fabrication, and characterization of an RF/microwave system, including the antenna, RF front-end, baseband, mix-signal circuits, and digital signal processing models. GE Credit: SE
    Expanded Course Description:
    EEC 134AB is a two-quarter senior design project course with a focus in RF/microwave system engineering. The course provides an opportunity to work on hands-on projects related to RF and wireless systems on the board level. The projects encompass multiple aspects of electrical engineering, including system design, antenna design, analog circuit design, embedded systems, and digital signal processing. The primary aim of the course is to prepare the students with a better understanding of engineering principles as well as practical engineering skills. The first project option we have implemented is a frequency modulated continuous wave (FMCW) radar system that can perform range, Doppler, and synthetic aperture radar (SAR) measurements. In the first quarter, the students build an FMCW radar system using breadboard and off-the-shelf connectorized RF components. In the second quarter the students focus on improving the system performance and gauge their success by a performance competition. The course will satisfy senior design requirement for undergraduate students in electrical and computer engineering. There is currently no RF/Microwave senior design option. No final exam. A final report and presentation are required.
  • EEC 135 – Optoelectronics for High Speed Data Networking and Computing Systems
  • Units: 4 (4 Lecture)
    Prerequisites: EEC 130B
    Catalog Description: Principles of optical communication systems. Planar dielectric waveguides. Optical fibers: single-mode, multi-mode, step and graded index. Attenuation and dispersion in optical fibers. Optical sources (LEDs and lasers) and receivers. Design of digital optical transmission systems. GE Credit: SE
    Expanded Course Description:
    I. Introduction
         A. Fiber optics
         B. Fundamentals of fiber-optic components
         C. Fundamentals of communications
         D. Evolution of fiber optic systems
         E. Elements of an optical fiber link
    II. Waveguides
         A. Planar waveguides
         B. Step-index multimode fibers
         C. Graded-index multimode fibers
         D. Single-mode fibers
         E. Dispersion-shifted single mode fibers
         F. Polarization in single mode fibers
    III. Signal degradation in optical fibers
         A. Attenuation
         B. Signal distortion (dispersion)
         C. Mode coupling
         D. Optimized single mode fiber design
    IV. Optical sources
         A. Light source considerations
         B. Light emitting diodes
         C. Laser principles
         D. Simple semiconductor lasers
    V. Optical Transmitters
         A. Optical transmitter principles and operational considerations
         B. Modulation and chirp
         C. Single-channel transmitter design
    VI. Optical Receivers
         A. Photodetector physical principles
         B. Optical receiver principles and noise
         C. Receiver sensitivity and bit-error-rates
    VII. Amplifiers
         A. Optical amplifier principles
         B. Optical amplifiers as line amplifiers, booster amplifiers, and pre-amplifiers
         C. Optical repeaters and cascaded amplifier performance
    VIII. Optical Couplers and other Passive Components
         A. Couplers
         B. Attenuators
         C. Optical isolators
         D. Optical circulators
         E. Multiplexers and demultiplexers
    IX. Wavelength Division Multiplexing (WDM)
         A. WDM networking requirements
         B. WDM systems
         C. WDM technologies
    X. Modulators, Optical Switches and Other Active Components
         A. Modulators and modulations
         B. Switching in optical networks
         C. Optical switching technologies
    XI. Designing Optical Network Systems
         A. Telecommunication network structure
         B. Optical Switching Systems and Switching Capacity
         C. Optical Transmission Systems and Transmission Capacity
         D. Single-channel transmission system design
               i. Power budget
               ii. Transmission capacity budget
               iii. Dispersion management
               iv. Optical amplifier placements and performance tradeoffs
  • EEC 136AB – Electronic Design Project
  • Units: 3 each — A(1 Discussion/2 Laboratory); B(1 Discussion/2 Laboratory)
    Prerequisites for EEC 136A: (ECS 036B or EEC 007); EEC 018; EEC 100; (EEC 110B or EEC 180 or EEC 157A (can be concurrent))
    Prerequisites for EEC 136B: EEC 136A
    Catalog Description: Optical, electronic and communication-engineering design of an opto-electronic system operating under performance and economic constraints. Measurement techniques will be designed and implemented, and the system will be characterized. GE Credit: SE
    Expanded Course Description: This course involves an optical-, electronic-, and communication-engineering design of an electro-optical system (e.g., an optical communication link or pulse oximeter). The course integrates principles from electromagnetics, opto-electronics, semiconductors, circuit design, communications and microcontrollers. The team is given an optical, electronic design problem that must operate under constraints (e.g., noise and power constraints). A prototype system will be designed, implemented and characterized. A project will involve circuit design of transmitters and receivers, the use of a microcontroller, the selection of components, implementation of circuit boards, implementation of signal processing algorithms and finally testing. The testing may require additional design and implementation of testing circuits to quantify the performance of the system. A team project report will be submitted that describes the design, implementation and testing of the electro-optical system. The report will contain an analysis of the system design including the chosen components, sources of information about the components, justification of chosen components, detailed analysis of power budget, noise analysis and measurement, and discussion of any assumptions made. The report will include a Future Work section. This section will consider various real-world design constraints that would be imposed on a commercial system, including manufacturability constraints. Each team will do a class presentation that describes their project.
  • EEC 140A – Principles Of Device Physics I
  • Units: 4 (3 Lecture/1 Discussion)
    Prerequisites: (ENG 17 (can be concurrent) or ENG 17V (can be concurrent)), (PHY 9D or PHY 9HE)
    Catalog Description: Semiconductor device fundamentals, equilibrium and non-equilibrium statistical mechanics, conductivity, diffusion, density of states, electrons and holes, P-N junctions, Schottky junctions, field effect transistors, bipolar junction transistors. GE Credit: SE, SL
    Expanded Course Description:
    I. Semiconductors, metals, and insulators
         A. Crystal structure
         B. Electron energy levels
         C. Energy bands, density of states
    II. Carriers and Conduction
         A. Intrinsic and extrinsic carriers
         B. Carrier concentration, Fermi level
         C. Carrier transport, drift, and diffusion
         D. Carrier generation and recombination
    III. P-N Junction Behavior
         A. P-N junctions and fundamental features
         B. Schottky junctions and ohmic contacts
         C. Biased junctions
         D. Excess carriers and transient effects
    IV. Diodes
         A. Ideal I-V relationships in diodes: forward bias
         B. Ideal I-V relationships in diodes: reverse bias
         C. Ideal I-V relationships in diodes: breakdown
         D. Small signal behavior
         E. Charge storage: forward- and reverse-bias capacitance
    V. Fundamentals of the MOS Transistor
         A. Basic principle of MOS operation
         B. The two-terminal MOS capacitor
         C. Inversion layers and the transistor channel
         D. Device potentials and the threshold voltage
         E. The MOS transistor: basic operational characteristics
         F. The body effect: substrate bias
         G. Small signal operation of the MOSFET
    VI. The Bipolar Transistor
         A. Bipolar transistor action
         B. Large-signal common-emitter gain
         C. Equivalent circuit models
         D. Basic small-signal operation and cutoff
  • EEC 140B – Principles Of Device Physics II
  • Units: 4 (3 Lecture/1 Discussion) 
    Prerequisites: EEC 140A or EEC 140 AV
    Catalog Description: Electrical properties, design, models, and advanced concepts for MOSFET and bipolar devices. Introduction to junction field effect transistors (JFETs, MESFETs) and heterojunction bipolar transistors (HBTs). Fundamentals of photonic devices, including solar cells, photodetectors, LEDs and semiconductor lasers.  GE Credit: SE
    Expanded Course Description:
    I. Semiconductor Physics
         A. Atomic bonding, impurities and defects
         B. Diffusion and Field in a graded-impurity region
         C. Hall Effect
    II. Carrier Behavior
         A. Excess carriers and quasi-Fermi levels
         B. Ambipolar transport
         C. Scattering and lifetime mechanisms
         D. Surface and interface effects
    III. Advanced MOS concepts
         A. Scaling and scaling theory
         B. Small-feature MOS effects
         C. Fabrication methods and associated phenomena
         D. Simulation models
    IV. Advanced Bipolar Junction Transistor concepts
         A. Non-idealities of p-n junctions
         B. Kirk effect and other second-order phenomena
         C. Fabrication technologies and consequences on performance
         D. Switching behavior, charge storage, frequency limitations
    V. Other Junction Devices and Phenomena
         A. Heterojunctions
         B. Thyristors and SCR devices
         C. Latchup
    VI. Photonics
         A. Optical absorption
         B. Photovoltaics and solar cells
         C. Photoconductors and photodetectors
         D. Light-emitting diodes
         E. Semiconductor lasers
  • EEC 145 – Electronic Materials
  • Units: 4 (3 Lecture/1 Discussion)
    Prerequisites: EEC 140A or EEC 140 AV
    Catalog Description:
    Electronic and physical properties of materials used in electronics, ICs, optoelectronics and MEMS. Thermal, mechanical, conductive, optical and nonlinear properties, along with synthesis and deposition methods of semiconductors, dielectrics, metals, optical materials, organic semiconductors and magnetic thin films are discussed. GE Credit: SE
    Expanded Course Description:
    Thorough understanding of the materials used in electronic and MEMS devices, including semiconductors, metals and dielectrics, both bulk and thin films.
    I. Semiconductors
         A. Review of the MOS fundamentals and needs
         B. Physical properties
         C. Conductivity and mobility
         D. Temperature effects and thermoelectrics
         E. Compound semiconductors and heterostructures
         F. Fabrication technologies
         G. Defects
         H. Nanomaterials and their properties
    II. Dielectrics
         A. Native and deposited oxides
         B. Native and deposited nitrides and carbides
         C. Thermal properties, mismatch and slip
         D. Tunneling properties
         E. Fabrication methods
    III. Metals
         A. Properties of refractory metals
         B. Composite thin-films and Damascene structures
         C. Diffusion barriers
         D. Ohmic and Schottky contacts
         E. Deposition technologies
    IV. Optical Properties
         A. Optical absorption and emission in inorganic solids
         B. Photoconductivity
  • EEC 146A – Integrated Circuits Fabrication
  • Units: 4 (2 Lecture; 2 Laboratory)
    Prerequisites: EEC 140A or EEC 140 AV
    Catalog Description: Basic fabrication processes for metal oxide semiconductor (MOS) integrated circuits. Laboratory assignments covering oxidation, photolithography, impurity diffusion, metallization, wet chemical etching, and characterization work together in producing metal-gate PMOS test chips which will undergo parametric and functional testing. GE Credit: SE
    Expanded Course Description:
    I. Clean Room Processing
         A. Safety with Process Chemicals and Processing Equipment
         B. Contamination Control
         C. Chemical Cleaning and Polishing
    II. Basic Integrated Circuits Processes
         A. Wet and Dry Oxidation for Fields and Gates
         B. Spincoating Resists and Softbake
         C. Contact Photoexposure and Image Development
         D. Patterning by Wet Chemical Etching and Liftoff
         E. Impurity Predeposition Using Solid Sources
         F. Junction Formation and Dopant Diffusion
         G. Metal Deposition by Evaporation
         H. Annealing and Interface Charge Passivation
    III. Basic Materials Characterization Techniques
         A. Thermal Probe Measurements
         B. Four-Point Resistivity Analysis
         C. Ellipsometry and Color Analysis of Silicon Dioxide Films
         D. Determination of Etch Rates
         E. Groove and Stain Junction Measurements
    IV. Basic Parametric and Functional Testing
         A. Device Probing
         B. I-V Measurements of MOS Devices
         C. Threshold Measurements
         D. Metal to Semiconductor Interfaces
         E. Junction Breakdown
         F. Ring Oscillators and Performance Benchmarks
  • EEC 150 – Introduction To Signals And Systems
  • Units: 4 (4 Lecture)
    Prerequisites: EEC 100; (ENG 6 or MAT 22AL)
    Catalog Description: Characterization and analysis of continuous-time linear systems. Fourier series and transforms with applications. Introduction to communication systems. Transfer functions and block diagrams. Elements of feedback systems. Stability of linear systems. GE Credit: QL, SE
    Expanded Course Description:
    I. Signals and Their Functional Representations
         A. Some applications involving signals
         B. Periodic continuous-time signals
         C. Nonperiodic signals
         D. The Delta function and its applications
    II. Linear Continuous-Time Systems
         A. System classification
         B. Modeling simple systems
         C. Systems defined by differential equations
         D. The impulse response
         E. The convolution integral
         F. Block diagrams
    III. Elements of Feedback Systems
         A. Review of the Laplace transform
         B. The transfer function and block diagrams
         C. Feedback in control (plants, controllers, and error signals)
         D. Stability and the s-plane
         E. Routh-Hurwitz test (OPTIONAL)
         F. The Nyquist criterion
         G. Root-locus analyses
    IV. Periodic Signals and Their Spectra
         A. Representation of signals by orthogonal functions (OPTIONAL)
         B. Periodic functions and Fourier series
         C. Properties of Fourier series
         D. Systems with periodic inputs
    V. The Fourier Transform
         A. Definition
         B. Properties and examples of Fourier transforms
         C. Introduction to filters
               i. Linear time-invariant systems (filters)
               ii. Butterworth, Chebyshev, and Elliptic filters
               iii. Frequency transformations of analog filters (OPTIONAL)
         D. Gibbs phenomenon (OPTIONAL)
    VI. Elements of Communication Systems
         A. Amplitude modulation
         B. Frequency modulation
         C. The sampling theorem
         D. Pulse modulation techniques
  • EEC 151 – Digital Signals And Systems
  • Units: 4 (3 Lecture/1 Discussion)
    Prerequisites: EEC 100
    Catalog Description: Characterization and analysis of discrete time systems. Difference equation models. Z-transform analysis methods. Frequency Analysis. Discrete and fast Fourier transforms.  Digital Filtering. GE Credit: QL, SE
    Expanded Course Description:
    I. Discrete Signals and Systems
         A. Discrete signals, system classification
         B. Difference equations
         C. Linear time invariant systems
         D. Impulse response and input-output relationship in time domain
         E. Discrete convolution 
    II. Z-Transform
         A. Definition, region of convergence
         B. Properties and examples of the Z-transform
         C. The inverse Z-transform
         D. The transfer function and stability
         E. Solving of difference equations in the Z-domain
         F. Convolution as polynomial multiplication (input/output relationship)
    III. Transform Analysis of Linear Time Invariant Systems
         A. Frequency response, discrete-time Fourier transform
         B. Frequency domain input/output relationship
         C. Frequency response: magnitude and phase response, group delay
         D. Lowpass, bandpass, and high pass filters
         E. Allpass, minimum-phase systems
         F. Linear phase filters
    IV. Digital Filtering Structures and Implementations
         A. Block diagrams and signal flow graphs
         B. Direct forms, cascade, parallel forms
         C. Transposed form
         D. Filtering of random digital signals 
         E. Power spectrum density
    V. Discrete and Fast Fourier Transforms
         A. The DFT and its properties
         B. Circular convolution, relation with linear convolution
         C. Overlap and add, overlap and save implementations of long convolutions
         D. Decimation in time FFT
         E. Decimation in frequency FFT
    VI. Digital Signals and Continuous-time Signals (A/D and D/A conversions)
         A. Continuous time signals and Fourier transform
         B. Properties of Fourier transform
         C. Sampling theorem and frequency domain analysis of sampling and aliasing (A/D)
         D. Reconstruction of bandlimited continuous time signals by samples (D/A)
         E. Discrete-time implementation of continuous-time filters
  • EEC 157A – Control Systems I
  • Units: 4 (3 Lecture/1 Discussion)
    Prerequisite: EEC 100
    Catalog Description: Analysis and design of feedback control systems. Examples are drawn from electrical and mechanical systems as well as other engineering fields. Mathematical modeling of systems, stability criteria, root-locus and frequency domain design methods. GE Credit: SE
    Expanded Course Description:

    I. Introduction to Control Systems
         A. Definition of Control Systems
         B. Examples of Modern Control Systems
    II. Mathematical Preliminaries
         A. Linear and Nonlinear Systems
         B. Linear Approximations of Physical Systems
         C. Differential Equations of Systems
         D. The Laplace Transform
         E. Analysis of Electrical and Mechanical Systems in the s-Domain
         F. Transfer Functions
         G. Block-Diagram Representations
    III. Mathematical Modeling and Control of Linear Feedback Systems
         A. Transfer Functions of Systems with Op-Amps
         B. Electro-mechanical Systems
         C. Modeling of DC Motors
         D. Design of a Speed Control System
         E. Design of a Position Control System
         F. Comparison of Disturbance Reduction
         G. Transient Response
         H. Steady State Error
         I. Sensitivity to Parameter Variations in Open-Loop and Closed-Loop Control Systems
         J. The Cost of Feedback
         K. Signal Flow Graphs
         L. Mason's Rule
    IV. Stability of Linear Feedback Systems
         A. The Concept of Stability
         B. BIBO Stability
         C. Routh-Hurwitz Stability Criterion
         D. Relative Stability
         E. Location of Open-Loop and Closed-Loop Poles
         F. Design of Stable Systems
    V. Performance of Feedback Control Systems
         A. Design Requirements Based on Time-Domain Specifications
         B. The Location of Poles and the Transient Response
         C. Steady-State Error
    VI. The Root-Locus Method
         A. The Rules of the Root-Locus Method
         B. Analysis and Design Using the Root-Locus Method
         C. Parameter Design
         D. Sensitivity and Frequency Response
    VII. The Nyquist Stability Criterion
         A. Contour Mapping in the S-Plane
         B. The Nyquist Criterion
         C. Relative Stability
         D. Closed-Loop Frequency Response
         E. Design of Stable Systems using the Nyquist Criterion
         F. Stability of Systems with Time Delays
    VIII. Frequency Response Methods
         A. The Bode Plot
         B. Performance Specifications in the Frequency Domain
         C. Magnitude and Phase Plots
         D. Design of Feedback Systems Using Frequency Response Methods

  • EEC 157B – Control Systems II
  • Units: 4 (3 Lecture/1 Laboratory)
    Prerequisites: EEC 157A
    Catalog Description: Control system optimization and compensation techniques, digital control theory. Laboratory includes Servo system experiments and computer simulation studies.  GE Credit: SE
    Expanded Course Description:
    I. The Design and Compensation of Feedback Control Systems
         A. Approaches to Compensation
         B. Cascade Compensation Networks
         C. Proportional-Integral-Derivative Compensation
         D. Phase-Lead Compensation Design Using the Bode Diagram
         E. Phase-Lead Compensation Design Using the Root Locus
         F. Phase-Lag Compensation Design Using the Bode Diagram
         G. Phase-Lag Compensation Design Using the Root Locus
         H. Systems with a Pre-filter
    II. Analysis and Design of Control Systems using State Space Representations
         A. The State Variables of a Dynamic System
         B. The State Vector Differential Equation
         C. The Time Response and the Transition Matrix
         D. Solving the Linear, Time-Invariant State Equation
         E. State-space Representations of Transfer-Functions
         F. Signal Flow Graph State Models
         G. The Stability of Systems in the Time Domain
         H. Controllability and Observability
         I. Pole Placement
    III. Discrete-Time Control Systems
         A. Definition and Properties of the Z-Transform
         B. Transfer-Functions of Discrete-Data Systems
         C. Stability of Discrete-Data Systems and the Jury Criterion
         D. Steady-State Error Analysis of Discrete-Data Control Systems
         E. Root-Loci of Discrete-Data Control Systems
         F. Digital Implementation of Analog Controllers
         G. Frequency Domain Design of Discrete-Data
  • EEC 160 – Signal Analysis And Communications
  • Units: 4 (3 Lecture/1 Discussion)
    Prerequisites: EEC 150
    Catalog Description: Signal analysis and design. Fourier series and transforms. Time-sampling, convolution, and filtering; spectral density. Analog and digital modulation: carrier-amplitude, carrier-frequency, and pulse-amplitude; analysis and design.  GE Credit: SE
    Expanded Course Description:
    I. Review of Fourier Series
         A. Exponential form
         B. Other forms
         C. Parseval’s relation
         D. Convolution theorem
         E. Filtering
         F. Time-Frequency duality
         G. CAD-Computer-Aided Design Projects
    II. Review of Fourier Transform
         A. Limiting form of Fourier series
         B. Relation to Laplace transform
         C. Properties
         D. Transform pairs
         E. Parseval’s relation
         F. Impulses, convolution, and filters
         G. Time-sampling
         H. Optimized impulse response. Implementation of a matched filter receiver
    III. Spectral Density
         A. Finite energy and finite average power
         B. Spectral density and filtering
         C. Bandpass signal representation and Hilbert transform
         D. Bandlimited baseband spectral CAD task. Pulse design and effect of line codes on the spectrum of bandlimited baseband signals.
         E. Pulse amplitude and pulse code modulation
    IV. Amplitude Modulation
         A. Double sideband
         B. Quadrature AM
         C. Single sideband and vestigial sideband AM
         D. AM with carrier
         E. CAD-Digital AM spectral analysis/design. Design and computer simulation of DSB and SSB AM modulation and demodulation
    V. Angle Modulation
         A. Phase and frequency modulation
         B. Narrowband FM
         C. Wideband FM
         D. Design and simulation of FSK and PSK digital communication systems
  • EEC 161 – Probabilistic Analysis Of Electrical & Computer Systems
  • Units: 4 (3 Lecture/1 Discussion) 
    Prerequisites: (ENG 6 or MAT 22AL); MAT 21D; MAT 22A
    Catalog Description: Probabilistic and statistical analysis of electrical and computer systems. Discrete and continuous random variables, expectation and moments. Transformation of random variables. Joint and conditional densities. Limit theorems and statistics. Noise models, system reliability and testing. GE Credit: SE
    Expanded Course Description:
    I. Sample space and probability
         A. Events, axioms of probability
         B. Conditional probability, Bayes law
         C. Independence
    II. Discrete random variables
         A. Probability mass function
         B. Expectation, mean, variance
         C. Generating function
         D. Joint probability mass function of multiple discrete random variables
         E. Conditioning, independence
    III. Continuous random variables
         A. Cumulative probability distribution function and probability density
         B. Expectation, mean, characteristic function
         C. Transformation of a random variable
    IV. Joint random variables
         A. Joint probability distribution and densities
         B. Joint moments
         C. Transformation of multiple random variables
         D. Conditional densities, conditional expectation, repeated expectations
    V. Sums of random variables
         A. Convergence of sequences of random variables
         B. Law of large numbers
         C. Central limit theorem
         D. Sampling statistics: sample mean, sample variance, confidence intervals
    VI. Random processes
         A. Sample paths
         B. Mean, autocorrelation, autocovariance
         C. Random processes through linear filters
         D. Autocorrelation of modulated signals (optional)
         E. Thermal noise in electrical circuits (optional)
         F. Power spectral density
    VII. Discrete-time Markov chains
         A. State transition diagram, one step transition matrix of a finite state homogenous Markov chain
         B. Computation of probability distribution, k step transition probability matrix
         C. State classification
         D. Steady-state behavior
         E. Application of Markov chain models to computer systems performance analysis
    VIII. Queueing Systems
         A. Poisson process
         B. Basic queueing theory: single server system
         C. Statistical analysis of queueing
  • EEC 165 – Statistical And Digital Communication
  • Units: 4 (3 Lecture/1 Laboratory)
    Prerequisites: EEC 160, EEC 161
    Catalog Description: Introduction to random process models of modulated signals and noise, and analysis of receiver performance. Analog and digitally modulated signals. Signal-to-noise ratio, probability of error, matched filters. Intersymbol interface, pulse shaping and equalization. Carrier and clock synchronizations. GE Credit: SE
    Expanded Course Description:
    I. Random Process Models for Signals and Noise
         A. Stationarity and ergodicity
         B. Correlation functions
         C. Spectral density functions
         D. Representation of bandpass signals
         E. Wiener filtering
    II. Signal-to-Noise Ratio Performance for Analog Carrier Modulation
         A. Baseband systems
         B. Amplitude modulation (DSB-SC, SSB, AM)
         C. Corner phase estimation with a phase-locked loop, effect of noise on phase estimation
         D. Phase and frequency modulation
    III. Receivers and Probability of Error Performance for Digital Modulation
         A. Optimum threshold receivers and matched filtering
         B. ASK, PSK, FSK and spread spectrum systems
         C. M-ary communications, M-PSK and QAM
    IV. Optimal Signal Detection
         A. Orthogonal representation of signals, signal space
         B. Optimum receiver and probability of error
    V. Intersymbol Interference and Equalization
         A. Bandlimited channels and intersymbol interference (ISI) pulse shaping for zero or controlled ISI
         B. Zero-forcing and minimum mean-square linear equalizers
         C. Adaptive equalizers
  • EEC 170 – Introduction To Computer Architecture
  • Units: 4 (3 Lecture/1 Discussion)
    Prerequisites: (ECS 036B or ECS 030 or ECS 034 or EEC 007); (EEC 018 or EEC 180A).
    Catalog Description: Introduces basic aspects of computer architecture, including computer performance measurement, instruction set design, computer arithmetic, pipelined/non-pipelined implementation, and memory hierarchies (cache and virtual memory). Presents a simplified Reduced Instruction Set Computer using logic design methods from the prerequisite course. GE Credit: SE
    Expanded Course Description:
    I. Computer Performance
         A. Measuring Performance
         B. Benchmark Selection
         C. Comparing and Summarizing Performance
    II. Instruction Sets
         A. Addressing
         B. Instruction Representation
         C. Branching
         D. Support for Procedures
         E. Complex Instructions
    III. Computer Arithmetic
         A. Integer Representation
         B. Addition and Subtraction
         C. Logical Operations
         D. ALU Design
         E. Multiplication
         F. Division
         G. Floating Point
    IV. Non-Pipelined Processor Design
         A. Datapath
         B. Simple Control Unit
         C. Finite State Machine Control Unit
    V. Pipelined Processor Design
         A. Pipelined Datapath
         B. Pipelined Control
         C. Data Hazards
         D. Branch Hazards
         E. Exceptions
    VI. Memory System Design
         A. Memory Hierarchy
         B. Mapping and Replacement Techniques
         C. Cache
         D. Virtual Memory
  • EEC 171 – Parallel Computer Architectures
  • Units: 4 (3 Lecture/1 Discussion)
    Prerequisites: EEC 170 or ECS 154B
    Catalog Description: Organization and design of parallel processors including shared-memory multiprocessors, cache coherence, memory consistency, snooping protocols, synchronization, scalable multiprocessors, message passing  GE Credit: SE
    Expanded Course Description:
    I. Introduction: An overview of parallel architecture including history and current trends
    II. Benchmarks, economics, and technology
    III. Instruction Level Parallelism
         A. Instruction and machine level parallelism
         B. In-order vs. out-of-order scheduling
         C. VLIW (static scheduling)
         D. Branch prediction and speculation
         E. Predication
         F. Trace scheduling
         G. Limits to instruction-level parallelism
    IV. Thread Level Parallelism
         A. Flynn’s taxonomy
         B. Coarse- vs. fine-grained parallelism
         C. Symmetric and simultaneous multithreading
         D. Supercomputing (at a high level)
         E. Organization of multiprocessor machines and programming models (shared vs. distributed memory)
         F. Memory models (consistency and coherence)
         G. Cache coherence protocols
         H. Interconnection networks
    V. Data Level Parallelism
         A. SIMD instruction sets
         B. Vector machines
         C. Massively parallel machines
         D. Manycore processors (e.g. GPUs)
         E. Data-parallel algorithms and programming models protocols, distributed shared memory and interconnection networks.
  • EEC 172 – Embedded Systems
  • Units: 4 (2 Lecture/2 Laboratory)
    Prerequisites: EEC 100; (EEC 170 or ECS 154A)
    Catalog Description: Introduction to embedded-system hardware and software. Topics include: embedded processor and memory architecture; input/output hardware and software, including interrupts and direct memory access; interfacing with sensors and actuators; wired and wireless embedded networking.  GE Credit: SE
    Expanded Course Description:
    I. Overview of embedded computing systems, including applications and platforms
    II. Embedded processor/microcontroller architecture
    III. Embedded-system memory
    IV. I/O hardware and software, including busses and device drivers
    V. Interrupt architecture, interrupt service routines and direct memory access
    VI. Interfacing with sensors and actuators
    VII. Wired, wireless and internet embedded networking
    VIII. Embedded and real-time operating systems
    IX. Embedded system reliability, safety and security
    X. Case studies of real-world embedded systems
  • EEC 173A – Computer Networks
  • Units: 4 (3 Lecture/1 Discussion)
    Prerequisites: (ECS 60 or ECS 32B or ECS 36B); (ECS 132 or EEC 161 or MAT 135A or STA 131A or STA 120 or STA 32)
    Catalog Description: Overview of local and wide-area computer networks. ISO seven-layer model. Physical aspects of data transmission. Data-link layer protocols. Network architectures. Routing. TCP/IP protocol suite. Local area networks. Medium access protocols. Network performance analysis. Only 2 units of credit for students who have taken ECS157.  GE Credit: SE
    Expanded Course Description:
    Students will learn basic knowledge of fundamental principles in communication networks and understand the architecture and underlying protocols along with scalability, complexity, and robustness of large-scale network systems. Be prepared to undertake an in-depth study of local and wide area networks dealing with their access mechanisms, routing algorithms, performance evaluation methodologies, and related issues. Students will ultimately gain experience in the design and analysis of network protocol through experiments on Ethernet LAN, network measurements, or through simulation models.
    I. Introduction
         A. Terminology
         B. OSI reference model; layered architecture and protocols
    II. Physical aspects of data transmissions
         A. Signals, spectral analysis, bandwidth
         B. Transmission impairments
         C. Data encoding/decoding
    III. Communication Techniques
         A. Serial/Parallel communication
         B. Synchronous and asynchronous communication
         C. Interfacing techniques
         D. Multiplexing: FDM, TDM, STDM
    IV. Data Link Control
         A. Flow Control
         B. Error Detection
         C. Error Control
    V. Broadcast Communication Networks
         A. Medium Access Control (MAC) Protocols
         B. Channel Partitioning: FDMA, TDMA, CDMA
         C. Random Access: Token ring/bus
         D. LAN/MAN Technologies and Topologies
         E. Wireless LANs
    VI. The Network Layer
         A. Circuit Switching
         B. Packet Switching
         C. Virtual Circuit vs Datagram
         D. Routing
    VII. The Transport Layer
         A. Connectionless vs. Connection-Oriented Transport, TCP and UDP
         B. TCP Flow and Congestion Control
  • EEC 174AY – Applied Machine Learning
  • Units: 3 (2 Lecture/1 Laboratory)
    Prerequisites: (ENG 006 or MAT 022AL); (EEC 007 or ECS 036B); (EEC 161 or EEC 151 or ECS 036C)
    Catalog Description: Applied machine learning (ML) and deep learning (DL) in engineering systems. Design and evaluation of components that are critical to artificial intelligence (AI) driven control systems, including but not limited to sensor fusion, feature engineering, computer vision (semantic segmentation, objection detection), ML based classification, and learning-based control systems. Team project including a final presentation and report.
    Expanded Course Description: 
    1. Introduction to Machine Learning & Deep Learning
        A. Supervised and unsupervised learning
        B. Deep learning: neural networks, CNN, TensorFlow
    2. Introduction to Computer Vision Tasks
        A. Image classification
        B. Object detection and classification
        C. Semantic segmentation
    3. Theme-Specific Topics - Examples include but not limited to the following:
        A, Sensor Fusion, Kalman Filters, Particle Filters
        B. Control Design and Optimization
        C. Feature Selection and Explainable AI
        D. Natural Language Processing
        E. Introduction to Reinforcement learning.

    Students will be exposed to many of the challenges for the specific design projects they want to tackle, which may span multiple application domains.  Example design themes include but not limited to self-driving cars, smart healthcare, disease diagnosis/screening, and precision agriculture. Towards the end of the quarter, students must submit either (a) a project proposal for EEC174B, or (b) a survey paper on advanced ML topics related to the course material. The project proposal for EEC174B should describe the problem statement, design/implementation, and evaluation/testing plan. For example, in the case of self-driving cars, students may leverage a radio-controlled car chassis and receive additional training on ROS robot programming.

  • EEC 174BY Applied Machine Learning Senior Design Project
  • Units: 3 (2 Lecture/1 Laboratory)
    Prerequisites: EEC 174AY
    Catalog Description: Design, development, and evaluation of components that are critical to artificial intelligence (AI) driven control systems. Team project including a final presentation and report. Example design themes include but not limited to self-driving cars, smart healthcare, and precision agriculture.
    Expanded Course Description: Students will be exposed to many of the challenges for the specific design projects they want to tackle, which may span multiple application domains.  Example design themes include but not limited to self-driving cars, smart healthcare, disease diagnosis/screening, and precision agriculture.
  • EEC 175A – Internet of Things
  • Units: 3 (2 Lecture/1 Laboratory)
    Prerequisites: EEC 18; (EEC 111 or EEC 110A) 
    Catalog Description: Introduction to principles, technologies, challenges, and required expertise to build the Internet of Things (IoT) solutions. Sensing, computing, wireless communication, IP communication, and cloud processing for building an IoT solution.
    Expanded Course Description: This course introduces the principles, technologies, challenges, and required expertise to build the Internet of Things (IoT) solutions. It provides a big picture of what is involved in IoT. Topics covered in this course include analog and digital sensing, interfacing sensors with microcontrollers, digital communication protocols, microcontroller choices and capabilities, gateways, fog computing, networking, cloud computing, need and challenges for cryptography and compression, security issues, and low power/energy challenges. The listed topics are covered only to the extent required to understand the challenges and the role of a given subject in IoT solutions. While briefly cover technologies involved at the various hierarchal levels of IoT solutions, the course introduces other courses at UC Davis where students could build further expertise in the topics of interest.
     
    Topics covered in IoT lectures include:
    1. Introduction to Internet of Things
    2. Current trends and technologies and future possibilities
    3. Digital sensing
    4. Analog sensing
    5. Digital communication
    6. Signal and Systems in IoT
    7. Compression
    8. Edge processing
    9. Gateway processing
    10. Fog processing
    11. Cloud processing
    12. Wireless communication
    13. IP communication
    14. Cloud services in IoT
    15. Authentication
    16. Networking
    17. IoT Security
     
    This course includes seven mini labs. The purpose of each mini-lab is to enable students to practice a different aspect of designing an IoT project, providing them with the knowledge and confidence to build their senior project design. In addition, students are assigned with several paper review projects, familiarizing them with the format of IEEE paper and equipping them with skills to criticize technical papers and learn how to learn from leading conference and journal publications. Students will be exposed to many of the challenges for the specific design projects they want to tackle, which may span multiple application domains. Example design themes include but are not limited to smart objects or automation solutions for use in smart homes, smart cities, smart healthcare systems, smart agriculture, Industrial IoT, smart office solutions, etc. Towards the end of the quarter, students will develop a project proposal. The project proposal is first discussed with the course instructor. After it is calibrated and appropriately scoped, the project proposal is presented in front of the class in the form of a presentation. Each project proposal should first describe the project objective and then explain how it satisfies sensing, computing, communication, actuation, cloud computing, and authentication to be considered an IoT project. In addition, students are expected to build a mobile interface to monitor and control their IoT solutions.
  • EEC 175B – Internet of Things Senior Design Project
  • Units: 3 (1 Lecture/2 Laboratory)
    Prerequisites: EEC 175A 
    Catalog Description: Propose and design a senior IoT design project, using the design principles they have studied in the prerequisite course, Internet of Things.
    Expanded Course Description: Students will propose and design a senior IoT design project in this  course, using the design principles they have studied in the prerequisite course, Internet of Things. The proposed solution will be an IoT solution containing sensing, computing, communication, actuation, authentication, mobile computing, and cloud processing components. The students can choose the microcontroller of their choice (or to use the MCU used in the prerequisite course) and hardware components. Completing this course, and the design of the grand project, satisfy the undergraduate senior design project. The group of 3-4 students can work on the ground project.

    This is a team project that includes a final presentation and report. Example design projects: (1) smart greenhouse where the soil moisture, green room temperature and humidity, light exposure, soil nutrition, etc., could be monitored and adjusted. The project also includes a mobile interface for adjusting the setting (or choosing from default settings) and a cloud and mobile interface for data monitoring purposes. (2) smart air filtration system where the air pollution, air quality, and room occupancy is monitored and filtration system adapt dynamically to keep the air pollution low and air quality high while maximizing the energy saving. The project adds means of adjusting the system setting from a mobile interface, over-time monitoring via web services, and predictive analysis via processing historical usage to learn user behavior and to adjust dynamically. (3) smart door where a user is authenticated by voice and through visual recognition, where the fog or cloud computing is used for speech recognition, voice authentication, and facial recognition to grant entry, where the wireless communication is encrypted to protect against man in the middle and replay attacks, where the data log for entry (person image, time of entry, voice signature, etc.) is collected and made available to the owner via a web service, where the setting of the smart door and permission for entry (remote unlock) is accessible and manageable via a mobile interface.
     
    The final report will contain an analysis of the students’ IoT design. In this report, students justify their choice of sensors. They explain which wireless communication technology is used and why that wireless technology was chosen (in terms of bandwidth, communication range, security, and power consumption). Students explain the type of processor they have used (for meeting computational needs) and justify why that was the right choice for their target application. Students further explain low power techniques and/or security solutions they have adopted and why such measures were adopted. Each team will do a class presentation that describes their project, followed by a live demo. Each team will divide the project into parts and delineate which student is responsible for each part.
  • EEC 179 - Applied Machine Learning for Electrical and Computer Engineers
  • Units: 4 (3 Lecture/1 Discussion)
    Prerequisite: EEC 161
    Catalog Description: Fundamental techniques in machine learning for data preparation, preprocessing, classification, and regression. Bringing practical machine learning algorithms to the field and deploying them on real problems in hardware, mobile health, embedded systems, security, and other related topics. Only two units of credit for students who have previously taken ECS 171.
    Expanded Course Description: This course will have two parts; part I will focus on reviewing fundamental techniques in machine learning for data preparation, preprocessing, classification, and regression just to name a few. The second part involve doing an extensive project related to hardware, embedded systems, security, and other related topics in electrical and computer engineering to bring practical machine learning algorithm to the field and deploy it on a real problem. Given that the course is designed for electrical and computer engineering students a project related to the field is expected to be done.
  • EEC 180 – Digital Systems II (Formerly EEC 180B)
  • Units: 5 (3 Lecture/2 Laboratory)
    Prerequisite: EEC 18 or EEC 180A
    Catalog Description: Computer-aided design of digital systems with emphasis on hardware description languages, logic synthesis, and field-programmable gate arrays (FPGA). May cover advanced topics in digital system design such as static timing analysis, pipelining, memory system design, and testing digital circuits.
    Expanded Course Description:
    I. Review of basic topics in logic design
         A. Boolean Algebra
         B. Combinational Logic Design and Optimization
         C. Flip-flops and Latches
         D. Sequential Logic Design and optimization
    II. Hardware Description Language
         A. Structural modeling
         B. Simulation Cycle
         C. Modeling data
         D. Register-Transfer Level (RTL) modeling
    III. Computer-aided design of digital circuits
         A. Design Flow
         B. Functional Simulation
         C. Overview of logic synthesis and technology mapping
         D. Timing Simulation
    IV. Field Programmable Gate Arrays
         A. Architecture of FPGA
         B. Programmable logic blocks and Programmable interconnect schemes
         C. FPGA-based design flow
    V. Timing Analysis and Clocking Schemes
         A. Static timing analysis concepts
         B. Edge-triggered flip-flops
         C. Level-sensitive latches
    VI. Design Implementation and Optimization
         A. Control/Data Separation
         B. Pipelining
         C. Retiming
    VII. Memory System Design
         A. SRAM
         B. DRAM
         C. Interfacing Memory to a Microprocessor Bus
    VIII. Advanced Topics (Optional)
         A. Processor Design
         B. Arithmetic Circuit Design
         C. Hardware Testing and Design for Testability
  • EEC 181A/B – Digital Systems Design Project
  • Units: 3 each — A(1 Workshop/2 Laboratory); B(1 Workshop/2 Laboratory)
    Prerequisites for EEC 181A: (EEC 180 or EEC 180B); EEC 170 
    Prerequisites for EEC 181B: EEC 181A
    Catalog Description: Digital-system and computer-engineering design course involving design, implementation and testing of a prototype application-specific processor under given design constraints. This is a team project that includes a final presentation and report. GE Credit: SE
    Expanded Course Description: The course involves the design, analysis, implementation, and testing of an application specific processor (ASP) using a modern, large-scale field programmable gate array (FPGA). The team is given a computationally intensive problem (e.g., realtime object tracking, factoring the product of two large prime numbers, or N-body gravity simulation) and is required to investigate algorithms for solving the problem that can be efficiently implemented on an FPGA. The ASP will typically be implemented in part as software running a soft processor with application-specific instructions built on the FPGA, and in part as an application-specific digital system to accelerate the main computation. Designs are done using commercial-grade FPGA computer-aided design tools. The team will implement a software-only reference design that runs on a standard PC or workstation and will compare and analyze the performance difference between the reference design and the ASP design. Projects will be evaluated in part based on the completeness and correctness of the ASP and the reference design, the performance of each design, and possibly other design attributes (e.g., ASP power consumption). A team project report will be submitted that describes the architecture, design, implementation, and testing of the ASP design and the reference design. The report will include a Future Work section. This section will describe the additional work that would be necessary to move the ASP from the current prototype to a commercial product. This section will also consider various real-world design constraints that would be imposed on the commercial product, including economic and manufacturability issues. This project involves the multiple disciplines of algorithms, software engineering, computer architecture, digital system design and digital system testing.
  • EEC 189A-V – Special Topics in Electrical Engineering and Computer Science
  • Units: Variable 
    Prerequisites: Consent of instructor; may be repeated for credit when topic is different
    Catalog Description: Special topics in:
    A. Computer Science
    B. Programming Systems
    C. Digital Systems
    D. Communications
    E. Signal Transmission
    F. Digital Communication
    G. Control Systems
    H. Robotics
    I. Signal Processing
    J. Image Processing
    K. High-Frequency Phenomena and Devices
    L. Solid-State Devices and Physical Electronics
    M. Systems Theory
    N. Active and Passive Circuits
    O. Integrated Circuits
    P. Computer Software
    Q. Computer Engineering
    R. Microprocessing
    S. Electronics
    T. Electromagnetics
    U. Opto-Electronics
    V. Computer Networks
    Expanded Course Description: N/A
  • EEC 190C – Research Group Conferences In Electrical And Computer Engineering
  • Units: 1 (1 Discussion)
    Prerequisites: Consent of instructor; upper division standing in Electrical and Computer Engineering; may be repeated for credit
    Catalog Description: Research group conference.
    Expanded Course Description: N/A
  • EEC 192 – Internship In Electrical And Computer Engineering
  • Units: 1 - 5 (3 - 15 Internship)
    Prerequisites: Consent of instructor; completion of a minimum of 84 units; project approval prior to period of internship; may be repeated for credit
    Catalog Description: Supervised work-study experience in electrical and computer engineering.
    Expanded Course Description: N/A
  • EEC 195A/B – Autonomous Vehicle Project
  • Units: 3 each — A(1 Discussion/2 Laboratory); B(1 Discussion/2 Laboratory)
    Prerequisite for EEC 195A: (ECS 36A or EEC 007); EEC 18; (EEC110B or EEC 157A (can be concurrent) or EEC 157 AV (can be concurrent) or ECS 036B) 
    Prerequisite for EEC 195B: EEC 195A
    Catalog Description: Design and construct an autonomous race car. Students work in groups to design, build and test speed control circuits, track sensing circuits, and a steering control loop.  GE Credit: SE
    Expanded Course Description:
    The students will be provided with a radio-controlled car chassis and the rules for the contest. The rest is up to them; there are no restrictions on the method used to sense the course or the control strategy used. In the spring, the students may compete against students from other universities in the NATCAR competition sponsored by National Semiconductor.
    First quarter: The students have lectures (available on the web), do homework assignments and complete a few pre-set laboratory projects to familiarize them with the operation and modeling of DC motors, steering servos, speed control loops and steering control loops. They are also presented with material on construction techniques, debugging techniques, reliability and design for reliability and manufacturability. These assignments provide the necessary background for the students to be able to tackle the project on their own. The students will work in teams of three or more. Each team will divide the project into parts and clearly delineate which student is responsible for each part.
    Second quarter: The teams will work on their own (with help available from the instructor and TA) to improve their designs and finish building and testing their cars. The quarter ends with a race to determine the performance used in grading the course.
  • EEC 196 – Issues In Engineering Design
  • Units: 1 (1 Seminar) 
    Prerequisite: Senior standing in Electrical or Computer Engineering
    Catalog Description: The course covers various electrical and computer engineering standards and realistic design constraints including economic, manufacturability, sustainability, ethical, health and safety, environmental, social, and political. GE Credit: SE
    Expanded Course Description:
    This course will discuss impacts of electrical and computer engineering design, including the following considerations:
    I. Economic Issues
    II. Ethical Issues
    III. Sustainability
    IV. Health and Safety
    V. Manufacturability
    VI. Environmental Issues
    VII. Societal Impacts
    VIII. Political Examples of the impact and interaction of electrical and computer engineering design with these various issues will be presented.
  • EEC 197T – Tutoring In Electrical And Computer Engineering
  • Units: 1 - 3 (1 Discussion; 2 - 8 Discussion/Laboratory)
    Prerequisites: Upper-division standing; consent of instructor
    Catalog Description: Tutoring in Electrical and Computer Engineering courses, especially introductory circuits. For upper-division undergraduate students who will provide tutorial assistance.
    Expanded Course Description:
    Course content will vary depending on course for which student is tutoring. Typically, students will provide tutorial assistance either in a group or on an individual basis for laboratory exercises, homework assignments and understanding of lecture/reading material. Assistance may take the form of reviewing/discussing the material, providing guidance in problem solving, or conceptual understanding of basis principles. A one-hour discussion each week will focus on topics to be covered during subsequent week’s laboratory/discussion sections. In addition, some pedagogic material on course objectives design and teaching methods will be covered each week.
  • EEC 198 – Directed Group Study
  • Units: 1 - 5
    Prerequisites: Consent of instructor
    Catalog Description: Directed group study.
    Expanded Course Description: N/A
  • EEC 199 – Special Study For Advanced Undergraduates
  • Units: 1 - 5 
    Prerequisites: N/A
    Catalog Description: Special study for advanced undergraduates. 
    Expanded Course Description: N/A

Graduate Courses

Disciplines Key: Photonics (Pho); Physical Electronics (PE); Biology, Agriculture, Health (Bio); Circuits (Cir); Information Systems (Info); RF, Microwave (RF); Computer Engineering (CE).
  • EEC 201 – Digital Signal Processing [Info, RF, Bio]
  • Units: 4 (4 Lecture)
    Prerequisites: EEC 150B; STA 120 or MAT 131 or MAT 167 recommended
    Catalog Description: Theory and design of digital filters. Classification of digital filters, linear phase systems, all-pass functions, FIR and IIR filter design methods and optimality measures, numerically robust structures for digital filters.
    Expanded Course Description: 
    This class is a core graduate level course in Digital Signal Processing (DSP) and is essential for students planning to pursue research in this area. The goal of this class is to provide an in- depth treatment of the topic of digital filter design. In specific, the first part of the course covers the theoretical aspects of the digital filter design problem whereas the second part addresses the implementation of these filters via numerically robust structures. A filter design project where students can experiment with the inherent filter design tradeoffs and pursue novel applications in data compression, communications and genomics to name a few, is a key component of this class. By the end of the term, we hope to provide a thorough and unified treatment of digital filters and their role in contemporary applications to the level where the student can engage in research in these areas.
    I. Review of DSP Fundamentals
         A. Discrete-time signals and system definitions
         B. Linear time-invariant (LTI) systems, stability and causality of LTI systems
         C. Impulse response, convolution sum, discrete-time Fourier transform and Eigen functions
         D. Transform analysis of LTI systems: magnitude response, phase response and group delay
         E. Z-transform, rational functions, poles and zeros, region of convergence (ROC) and difference equations
    II. Digital Filters
         A. Transmission zeros
         B. Filter classification based on the magnitude response and phase response
         C. Generalized linear phase filters
         D. FIR generalized linear phase filter types and their properties
    III. The Digital Filter Design Problem
         A. Filter specifications
         B. Normalized magnitude response and dB plots
         C. Wrapped and unwrapped phase response
         D. Optimality criteria for filter design
    IV. FIR Filter Design Techniques
         A. Window method
         B. Optimal window design and the prolate spheroidal function
         C. Eigen filter approach
         D. Optimum equiripple approximation using FIR filters
    V. IIR Filter Design Techniques
         A. Working principle of IIR filters
         B. The bilinear transformation
         C. Butterworth, Chebyshev and Elliptic filters
         D. All pass filters and their properties
         E. The all pass decomposition
    VI. Numerically Robust Structures for Digital Filters
         A. Direct forms, parallel form, cascade form
         B. The finite precision representation: quantizing the filter coefficients by truncation or rounding
         C. Roundoff noise analysis of filter structures
         D. Scaling and dynamic range analysis of filter structures
         E. Lattice structures for all pass filters
    VII. Applications of Digital Filtering
  • EEC 205 Computational Methods in Biomedical Imaging [Bio, Info, Pho]
  • Units: 4 (4 Lecture)
    Prerequisites: (BIM 105 or STA 120); BIM 108 or EEC 150 or consent of instructor
    Catalog Description: Analytic tomographic reconstruction from projections in 2D and 3D; model-based image reconstruction methods; maximum likelihood and Bayesian methods; applications to CT, PET, and SPECT.
    Expanded Course Description:
    Part 1: Analytic Reconstruction Methods.
         1. Introduction. Review of Fourier transform, delta functions and convolutions. Polar vs. Cartesian coordinates. The Radon transform; the Fourier-slice theorem; Direct Fourier reconstruction; backprojection filtering.
         2. Filtered backprojection and Practical implementation considerations.
         3. Fan beam reconstruction and helical scan.
         4. Radon transform in higher dimensions.
         5. Introduction to cone beam reconstruction: Feldkamps algorithm, Tuys condition and Grangeats formula.
         6. 3D parallel-beam tomography: the x-ray transform; Orlovs condition, filtered backprojection in 3D; Colsher filters; 3D reprojection methods.
         7. Fully 3D PET and Fourier rebinning: Exact and approximate Fourier rebinning methods for 3D reconstruction; frequency-distance relation.
    Part 2: Model Based Reconstruction Methods
         8. Problem formulation: finite dimensional formulations and choice of basis function; system models; forward and back projection operators; algebraic reconstruction methods (ART)
         9. Statistical reconstruction approaches: Least squares, maximum likelihood and MAP formulations. Properties of estimators; Gaussian and Poisson noise models; penalty functions and priors.
         10. General numerical optimization principles: convexity, local and global minima; the Hessian matrix; Kuhn-Tucker conditions; constrained optimization.
         11. Review of general purpose optimization methods: steepest descent; Newton Raphson; conjugate gradient methods; iterated coordinate ascent.
         12. Optimization using surrogate functions and the EM algorithm for Poisson likelihood functions
         13. (time permitting): algorithm evaluation – task based evaluation: quantitation and detection; ROC curves; computer observer models.
  • EEC 206 – Digital Image Processing [Info, Pho]
  • Units: 4 (3 Lecture; 3 Laboratory [Completion of Three Lab-Oriented Projects])
    Prerequisites: EEC 150B
    Course Description: Two-dimensional systems theory, image perception, sampling and quantization, transform theory and applications, enhancement, filtering and restoration, image analysis, and image processing systems.
    Expanded Course Description:
    I. Two-Dimensional Systems
         A. Linear systems and shift invariance
         B. Convolution summation
         C. Fourier transforms
    II. Image Perception
         A. Perception of brightness
         B. Perception of spatial information
         C. Color perception
         D. Temporal properties of vision
    III. Image Sampling and Quantization
         A. Image scanning and television
         B. Two-dimensional sampling theory
         C. Practical limitations in sampling and reconstruction
         D. Image quantization
         E. Visual quantization
    IV. Image Transforms
         A. Two-dimensional orthogonal and unitary transforms
         B. Discrete Fourier transform (DFT)
         C. Discrete cosine transform (DFT)
         D. Other transforms
    V. Image Enhancement
         A. Point operations
         B. Histogram modeling
         C. Spatial operations
         D. Transform operations
         E. Color image enhancement
    VI. Image Filtering and Restoration
         A. Image observation models
         B. Inverse and Wiener filtering
         C. Generalized inverse methods
         D. Coordinate transformation and geometric correction
    VII. Image Analysis
         A. Spatial feature extraction
         B. Edge detection, boundary extraction and representation
         C. Structure
         D. Texture
         E. Scene matching and detection
         F. Segmentation
    VIII. Image Processing Systems
         A. Image processing hardware
         B. Image processing software
    IX. Laboratory Experiments:
         1. In the laboratory, students will learn to use an image processing hardware and software system to perform a set of experiments, chosen from:
               1. Image sampling and quantization
               2. Fast Fourier transform
               3. Nonlinear point operations
               4. Histogram equalization
               5. Spatial filtering
               6. Edge detection
               7. Shape analysis
               8. Texture analysis
     
  • EEC 210 – Mos Analog Circuit Design [Cir]
  • Units: 4 (3 Lecture; 1 Project) 
    Prerequisites: EEC 110B, (EEC 140A or EEC 140AV)
    Catalog Description: Analysis and design of MOS amplifiers, bias circuits, voltage references and other analog circuits. Stability and compensation of feedback amplifiers. Introduction to noise analysis in MOS circuits.
    Expanded Course Description:
    I. Review of MOS Transistors and Technology
    II. Basic MOS Amplifiers
         A. Common source, common gate, and common drain amplifiers
         B. Cascade amplifier
    III. MOS Differential Pairs
    IV. MOS Current Mirrors and Active Loads
    V. Reference Circuits
         A. Supply insensitive
         B. Temperature insensitive (band-gap reference)
    VI. MOS Two-Stage Op Amp
         A. Gain, input resistance, and output resistance
         B. Output swing
         C. Systematic and random offset
         D. Common-mode rejection ratio
         E. Common-mode input range
         F. Power-supply rejection ratio
    VII. Frequency Response
         A. Single-stage amplifiers
         B. Multi-stage amplifiers using zero-value time constants
    VIII. Stability and Compensation
    IX. Introduction to Noise
         A. Noise sources
         B. MOS noise model
         C. Circuit noise calculations
         D. Equivalent input noise
         E. Noise analysis of MOS 2-stage op amp
  • EEC 211 – Advanced Analog Circuit Design [Cir]
  • Units: 3 (3 Lecture)
    Prerequisites: EEC210; STA 131A and EEC 112 are recommended
    Catalog Description: Noise and distortion in electronic circuits and systems. Application to communication circuits. Specific applications include mixers, low-noise amplifiers, power amplifiers, phase-locked loops, oscillators and receiver architectures.
    Expanded Course Description:
    After taking this course the student should understand:
    1. The impact of combined noise and distortion on various communication circuits
    2. How to analyze distortion in memoryless electronic circuits
    3. How to design systems to minimize the deleterious effects of noise
    4. How to analyze circuits and systems with noise sources present
    5. The origins of noise in electronic systems
    I. Noise
         A. Review of necessary probability and statistics
         B. Noise as a random variable. Derivation of thermal noise voltage. Autocorrelation function. Power spectral density. Noise bandwidth.
         C. Non equilibrium noise sources: shot, flicker, burst, avalanche.
         D. Noise models for electronic devices. Equivalent input noise generators. Optimum source impedance.
         E. Signal-to-noise ratio (SNR) and Minimum Detectable Signal (MDS). Noise Factor (F), Noise figure (NF), Noise Temperature (Te). Available gain (G) and Noise Factor for cascaded stages.
         F. Effect of feedback on noise.
         G. Noise shaping circuits to improve SNR. Chopper amplifier example. (optional – as time permits)
    II. Distortion
         A. Low-frequency distortion analysis using series expansion. Definitions of distortion products.
         B. Effect of feedback on distortion.
         C. Distortion in cascaded stages.
         D. Distortion and noise in communication circuits, spurious-free dynamic range.
         E. High-frequency distortion and the Volterra Series. (optional – as time permits)
    III. Applications (cover as time permits)
         A. Mixers
         B. Low-noise amplifiers
         C. Power amplifiers
         D. Phase-locked loops
         E. Oscillators
         F. Receiver architectures (homodyne, heterodyne)
  • EEC 212 – Analog Mos Ic Design For Signal Processing [Cir, Info]
  • Units: 4 (3 Lecture) 
    Prerequisites: EEC 210
    Course Description: Analysis and design of analog MOS integrated circuits. Passive components, single-ended and fully differential op amps, sampled-data and continuous-time filters.
    Expanded Course Description:
    This is an advanced course in analog MOS integrated circuit design. The focus is on the design of circuits for signal processing applications. The first half of the course covers advanced MOS device modeling, passive components, and a number of CMOS operational amplifiers, both single-ended and fully differential. In the second half of the quarter, switched-capacitor (SC) circuits are introduced and analyzed using the Z- transform and charge-transfer analysis. A SC sample-and-hold circuit is analyzed. Then first- and second-order SC filters, FIR filters, ladder filters, and nonideal effects in SC filters are covered. Continuous-time CMOS filters are also presented. Homework requiring computer simulation will be carried out by the student. One midterm and a final will be given.
    I. CMOS Process
         A. Second-order effects in MOS transistors
         B. Passive components, matching
    II. Operational Amplifiers
         A. The two-stage op amp
         B. Folded cascode op amp
         C. Class AB op amp
         D. Output stages
         E. Feedback analysis using return ratio
         F. Fully differential op amps, continuous-time common-mode feedback (CMFB)
    III. Switched-Capacitor (SC) Circuits
         A. Simple sample & hold
         B. Charge transfer equations, Z-transform analysis
         C. SC integrators, active SC filters, S-to-Z transforms
         D. Sampling effects, sin x/x, decimation/interpolation, SWITCAP
         E. SC ladder filters
         F. FIR filters, SC gain circuits
         G. kT/C noise, op-amp noise, double correlated sampling, chopping
         H. SC common-mode feedback
    IV. Continuous-Time Filters
         A. R-C active filters
         B. MOSFET-C filters
         C. Transconductance-C (Gm-C) filters
         D. Tuning
  • EEC 213 – Data-Conversion Techniques and Circuits [Cir, Info]
  • Units: 4 (3 Lecture; Project)
    Prerequisites: EEC 210
    Catalog Description: Digital-to-analog and analog-to-digital conversion; component characteristics and matching; sample-and-hold, comparator, amplifier, and reference circuits.
    Expanded Course Description:
    I. Building Blocks
         A. Passive components
         B. Comparators
         C. Amplifiers
         D. References
    II. Sample-and-Hold Circuits
         A. Characteristics and error sources
         B. Architectures
         C. Correction techniques and limitations
    III. Digital-to-Analog Converters
         A. Characteristics and error sources
         B. Architectures
               1. Direct and indirect
               2. Serial and parallel
               3. Current, voltage, and charge based
               4. Cascaded, master/slave, and segmented
         C. Correction techniques and limitations
    IV. Analog-to-Digital Converters
         A. Characteristics and error sources
         B. Architectures
               1. Direct and indirect
               2. Serial, successive approximation, algorithmic, parallel, subranging, pipelined, and oversampled
         C. Correction techniques and limitations
    V. Article Reviews: Each student is required to give an oral summary of an instructor-approved journal article on a data-conversion circuit or technique.
  • EEC 214 – Integrated Circuit Design for Power Electronics [Cir]
  • Units: 4 (4 Lecture)
    Prerequisites: EEC 210
    Catalog Description: IC design for power electronics. Linear and switching regulation. Integrated power management. DC/DC and AC/DC conversion. Applications in portable electronics and wireless sensors.
    Expanded Course Description:
    I. Overview of Power Electronics
         A. Linear Regulation
         B. Switching Regulation
         C. Analysis Methods
    II. Linear Regulators
         A. Simple Diode Regulator
         B. Low Dropout Regulator
         C. Shunt Regulator
         D. Series-Shunt Regulators
    III. Switched-Capacitor DC/DC Converters
         A. Series-Parallel Architectures
         B. Charge Pumps
         C. Switched Capacitor Converter Optimization
         D. Application: Integrated Dynamic Voltage Scaling
    IV. Inductor-Based DC/DC Switching Converters
         A. Buck Converter
         B. Boost Converter
         C. Buck-Boost Converter
         D. Switching Converter Optimization
         E. Analog and Digital Feedback Control
         F. Application: Photovoltaic and Thermoelectric Energy Harvesting
    V. AC/DC Conversion
         A. Rectifiers
         B. Flyback Converters
  • EEC 215 – Circuits For Digital Communications [Cir, Info, CE]
  • Units: 3 (3 Lecture)
    Prerequisites: EEC 150; EEC 210 (may be taken concurrently); EEC 165, EEC 166 or EEC 265 recommended
    Catalog Description: Analog, digital, and mixed-signal CMOS implementations of communication-circuit blocks: gain control, adaptive equalizers, sampling detectors, clock recovery.
    Expanded Course Description:
    Develop an understanding of the implementation options (analog vs. digital vs. mixed analog/digital) and trade-offs for the CMOS design of key signal-processing blocks for digital communication transceivers.
    I. Baseband digital data transmission, simple NRZ channel, bandwidth limitations, an ideal transmission channel.
    II. AGC loops (local feedback vs. decision-directed gain control), analog, digital, and mixed-signal approaches and trade-offs. The Least-Mean Square method for adjusting gain, "gear shifting."
    III. Fixed equalizers, compromise equalization, adaptive equalizers (baud- and fractionally-spaced FIR equalizers), coefficient update equations, tap noise, training sequences, hardware implementations (analog, digital, and mixed-signal implementations of the equalizers and adaptive loops; direct and transposed FIR structures), DC cancellation tap. AGC and adaptive equalizer interaction.
    IV. The Decision Feedback Equalizer (DFE), advantages and disadvantages, update equations, implementations, the RAM DFE.
    V. Partial response signaling, dicodes, the Viterbi detector, advantages, implementations.
    VI. Clock recovery schemes, acquisition and tracking modes, decision directed approaches to timing recovery, effect of sampling jitter, analog, mixed-signal and digital approaches.
    VII. A complete baseband receiver, showing all blocks. System examples: a 100 Mb/s ethernet transceiver, a disk-drive read channel, and a DSL transceiver.
    VIII. Echo cancellation, linearity requirements, implementations.
  • EEC 216 – Low Power Digital Integrated Circuit Design [Cir, PE]
  • Units: 4 (3 Lecture; 1 Discussion) 
    Prerequisites: EEC 118
    Catalog Description: IC design for low power and energy consumption. Low power architectures, logic styles and circuit design. Variable supply and threshold voltages. Leakage management. Power estimation. Energy sources, power electronics, and energy recovery. Applications in portable electronics and sensors. Thermodynamic limits.
    Expanded Course Description:
    Design Project #1 typically involves optimizing a particular logic block for both power and performance. Example circuits include 32-bit adders, 4×4 array multipliers, or an SRAM data cache critical path. Projects involve logic design, transistor level circuit design, simulation and verification using a Spice-like circuit simulator such as Hspice, and preparation of a written report with an emphasis on design discussion. Design Project #2 Final Project allows students to pursue their own small research projects in various aspects of low power digital integrated circuit design. Examples include modeling power dissipation for routing fabrics, designing low-swing or encoded on-chip interconnects, and exploring low leakage power cache designs. Students are required to perform some circuit or logic design and analysis. Designs are verified through simulation using a Hardware Description Language such as Verilog or circuit simulator such as Hspice. Students give a brief class presentation and submit a final report in a conference paper format.
    1. Overview of Low Power Design
         1. CMOS Power Dissipation
         2. Power and Performance Tradeoffs
         3. Trends in IC Power Consumption
    2. Low Power Architectures
         1. Clock Gating and Clock Management
         2. Pipelining to Reduce Supply Voltage
         3. Parallelization to Reduce Supply Voltage
    - Low Power Circuit Design
         1. Logic Power Estimation
         2. Power Minimization in Static CMOS
         3. Power Minimization in Dynamic CMOS
         4. Multiple-Threshold CMOS
         5. Variable Supply and Threshold Voltages
         6. Managing Leakage
         7. Silicon-on-Insulator(SOI) Technologies
         8. Energy Recovery
         9. Interconnect Power Estimation and Management
    1. Energy Sources and Power Electronics
         1. Batteries and Fuel Cells
         2. Energy Scavenging
         3. DC/DC Converters: Fundamentals
         4. DC/DC Converters: Optimization
    2. Other Topics in Low Power Design
         1. Low Power Synthesis
         2. Applications: Computing, Communication and Multimedia
         3. Applications: Sensors and Sensor Networks
         4. Fundamental Limits and Thermodynamics of Computation
  • EEC 221 – Radio Frequency and Microwave Filter Design [RF, Circ]
  • Units: 4 (3 Lecture; 1 Discussion)
    Prerequisites: EEC132A or instructor consent
    Catalog Description: Design of RF and microwave filters including filter specification and approximation theory. Passive LC filter design will cover doubly-terminated reactance two-port synthesis and coupling matrix based synthesis. Active filter design will include sensitivity, op-amp building blocks, and cascade filter design.
    Expanded Course Description:
    Filters are ubiquitous components in high frequency electronic systems. Jokingly known as the “RF engineers’ bandage”, RF and microwave filters find use in band/channel selection, image rejection, anti-aliasing, and pretty much anywhere undesired signals need to be eliminated. With the deployment of advanced wireless communication networks, there has been a steadily increasing interest in RF/microwave filters with better performance, smaller form factor, and lower cost. This course intends to provide a thorough and up-to-date introduction of the design theories and implementation techniques for RF and microwave filters. The targeted audience is senior undergraduate students and graduate students with a basic background in circuit analysis and RF engineering. After successfully completing the course, the students are expected to be able to:
    - Understand the basic electrical properties of passive circuits
    - Synthesize 1-port passive circuits according to a prescribed impedance function
    - Synthesize 2-port passive circuits according to a prescribed transfer function
    - Understand major filter design specifications and trade-offs
    - Understand the properties of various filter approximation functions
    - Synthesize passive filters with immittance inverters
    - Understand the formulation of coupling matrix for coupled-resonator filter design
    - Synthesize the coupling matrix for a prescribed transfer function
    - Understand major design methods for analog filters
    - Understand major filter implementation technologies
    - Understand the operating principles of electroacoustic filters
  •  EEC 222 – RF IC Design [RF, Circ]
  • Units: 4 (3 Lecture; 1 Discussion)
    Prerequisites: EEC 132C, EEC 210
    Catalog Description: Radio frequency (RF) solid-state devices, RF device modeling and design rules; nonlinear RF circuit design techniques; use of nonlinear computer-aided (CAD) tools; RF power amplifier design.
    Expanded Course Description:
    Students will gain the fundamentals of RF IC design and be familiarized with RF IC design rules and nonlinear computer-aided design tools.
    I. Overview of high-frequency integrated circuits
         A. On-chip passive devices: resistors, capacitors and inductors
         B. High-frequency/high-speed device physics and figures of merit
    II. Solid-state device modeling and design rules
         A. Review of small-signal model and extraction techniques
         B. Large-signal model
         C. Examples of nonlinear models
         D. Examples of RF IC design rules and processes
    III. Nonlinear RF circuit design analysis
         A. Harmonic balance analysis
         B. Large-signal, single-tone problems
         C. Solution algorithms
         D. Selecting the number of harmonics and time samples
         E. Generalized harmonic balance analysis
         F. Circuit envelop analysis
    IV. RF power amplifier design
         A. Classes of power amplifiers
         B. Review of linear power amplifier design techniques
         C. Gain match and power match, matching circuits for power amplifiers
         D. Introduction to load-pull measurements
         E. Conventional high-efficiency amplifiers
         F. Nonlinear effects in RF power amplifiers
         G. Efficiency enhancements and linearization techniques
  •  EEC 223 – RF Integrated Circuits for Wireless Communications [RF, Circ, Info]
  • Units: 4 (3 Lecture) 
    Prerequisites: EEC 132A; EEC 112
    Catalog Description: Integrated RF front end circuit design of receivers and synthesizers for wireless communications, such as LNA, mixers, PLL; noise and linearity analysis and specifications; theory and working mechanism of synthesizers and phase noise analysis.
    Expanded Course Description:
    1. Basic concept of RF design for wireless communications
         1. Review of transistor noise type, model, NF
         2. Device nonlinearity and their effects in RF systems, gain compression, intermodulation, desensitization etc.
         3. System sensitivity and dynamic range
    2. RF Transceiver Architecture Analysis and Design
         1. Review of heterodyne and homodyne architecture
         2. Image rejection receiver, Hartley and Weaver receiver
         3. Polyphase Filter
    3. Integrated Low Noise Amplifier( LNA) Design
         1. Input matching for integrated LNA
         2. Integrated LNA topologies, common gate, common source, inductive degeneration LNAs
         3. LNA design examples, transformer coupled, noise cancellation LNAs
    4. Integrated Mixer Design
         1. Integrated passive and active mixer design and comparison, linearity, noise analysis. Noise folding effects
         2. Mixer linearization and noise improvement techniques, source degeneration, offset transconductance
    5. Voltage Controlled Oscillator (VCO)
         1. Review of oscillator and VCO model
         2. Phase noise generation mechanism, analysis and effects
         3. Quadrature signal generation
    6. Phase Locked Loop (PLL) and Components
         1. Type-I and Type-II charge pump PLL
         2. Dividers including static, dynamic and programmable dividers
         3. Phase Frequency Detector and Charge Pump design and nonidealities
         4. Phase noise contribution and analysis from individual blocks
         5. Integer-N and Fraction-N Synthesizers
  • EEC 224 – Terahertz and mm-Wave Integrated Circuit Design [RF, Circ, Info]
  • Units: 4 (3 Lecture)
    Prerequisites: EEC132A; EEC 112; or consent of instructor
    Catalog Description: Fundamental theory of RF transmitter and receiver, including noise analysis, transceiver architectures, and antenna arrays. Fundamental limitations, theory and design of amplifiers, oscillators and signal sources at THz and mm-wave frequencies.
    Expanded Course Description:
    I. Introduction
         A. THz and mm-wave applications
         B. High-speed Integrated circuits technologies
         C. Review of active devices such as small-signal model and frequency response
    II. System and Device Specifications
         A. Effect of nonlinearity in transceivers such as gain compression and blocking
         B. Noise probabilistic definitions and modeling in circuit analysis
         C. Noise source correlations and its effect in mm-wave and THz systems
         D. Noise sources in CMOS transistor
         E. Noise figure and dynamic range definitions and analysis
         F. Transmitter and receiver architectures used in THz and mm-wave systems
         G. Phased array systems
         H. Design challenges and fundamental limitations of passive components for mm-wave and THz systems
    III. Signal Amplification
         A. Mason’s invariant function
         B. Maximum oscillation frequency (fmax)
         C. Power gain definitions and relations
         D. Power gain limits of active devices
         E. Device unilateralization and gain-boosting techniques
         F. Tuned amplifier design
         G. Noise figure and power gain calculations in tuned amplifiers
    IV. Signal Generation
         A. Oscillation mechanisms and theory in self-sustained oscillators
         B. Signal swing analysis in resonator-based oscillators
         C. Oscillator design for frequencies close to fmax
         D. Harmonic oscillators for mm-wave and THz signal generation
         E. Voltage controlled oscillators and the design challenges at high frequencies
         F. Frequency multipliers for mm-wave and THz signal generation
  • EEC 228 – Advanced Microwave And Antenna Design Techniques [RF, Circ]
  • Units: 4 (3 Lecture; 3 Laboratory)
    Prerequisites: EEC 132B or EEC 131B
    Catalog Description: Theory, design, fabrication, analysis of advanced microwave devices, antennas. Includes wideband transformers, tapered networks, stripline and microstripline broadband couplers and hybrids. Lumped and distributed filter synthesis. Broadband matching theory applied to microwave devices. FET amplifiers. Antenna design, analysis of horns, microstrip, log periodic, arrays, spirals, and reflectors.
    Expanded Course Description:
    This course emphasizes advanced design techniques for both passive and active microwave devices such as wideband and low noise microwave amplifiers employing GaAs FETs and HEMTs. The course will discuss synthesis techniques for multi-element and cascade distributed structures. This will involve synthesis of distributed filters, transformers and couplers employing computer oriented design and fabrication in microstrip media. Tolerance analysis will be performed including the perturbations resulting from measurement errors. The analysis and design of 90′ and 180′ hybrids will be performed. Additional topics will include advanced matching network synthesis for broad-band and low noise figure RF/Microwave design employing computer oriented optimization techniques. All designs will be fabricated and tested on state of the art RF measurement equipment. Sensitivity studies will be performed. A study will be performed of the analysis and design of a variety of classes of antennas. This will include a study of the Kirchoff diffraction integral formulation to provide a basis for design of E-plane, H-plane and Pyramidal horn and reflector antennas. Computer oriented design techniques will be performed as an integral part of the design of horn antennas. Measurements of antenna patterns and gain will be performed in the anechoic chamber and on the outside antenna range. Techniques to realize broadband antenna performance will be undertaken. This will include the study of theory of log periodic-dipole arrays and equiangular spirals employing modal analysis techniques. Antenna design realizations will be performed in both lumped element form and on teflon fiberglass.
  • EEC 230 – Electromagnetics [RF, Circ]
  • Units: 4 (3 Lecture; 1 Discussion)
    Prerequisites: EEC 130B
    Catalog Description: Maxwell’s equations, plane waves, reflection and refraction, complex waves, waveguides, resonant cavities, and basic antennas.
    Expanded Course Description:
    To impart a rigorous understanding of plane wave and guided wave propagation and manipulation. To provide thorough preparedness for applications of electromagnetics to devices in microwaves, lasers and other high frequency devices.
    I. Maxwell’s Equations-Time Varying Fields-Conservation Laws
         A. Faraday’s Law, Displacement Current, Maxwell’s Equation
         B. Plane Waves, Energy Density, Poynting Theorem
         C. Boundary Conditions for Fields
         D. Vector and Scalar Potentials
    II. Plane Wave Propagation and Reflection
         A. Polarization
         B. Waves in Lossy Media
         C. Reflection and Refraction at a plane interface
         D. Waves in Layered Media
    III. Complex Nonuniform Waves
         A. Complex Wave Classification
         B. Backward and Forward Leaky Waves
         C. Trapped Surface Waves
         D. Zenneck Waves, Plasmons
    IV. Waveguides and Resonant Cavities
         A. General Formulation
         B. Group and Energy Velocities
         C. Rectangular Waveguides
         D. Circular Metal and Dielectric Waveguides
         E. Resonant Cavities
    V. Radiation
         A. Retarded Potentials
         B. Linear Antennas
         C. Antenna Arrays
  • EEC 231A – Plasma Physics and Controlled Fusion [RF, PE]
  • Units: 4 (4 Lecture) 
    Prerequisites: Graduate Standing in Engineering; consent of instructor
    Catalog Description: Equilibrium plasma properties; single particle motion; fluid equations; waves and instabilities in a fluid plasma; plasma kinetic theory and transport coefficients; linear and nonlinear Vlasov theory; fluctuations, correlations and radiation; inertial and magnetic confinement systems in controlled fusion.
    Expanded Course Description:
    I. Plasma physics applications
    II. Particle motion in electromagnetic field; adiabatic invariants
    III. Fluid equations and diamagnetic drifts
    IV. Debye shielding; plasma sheaths
    V. Maxwell’s equations in the plasma; the equivalent dielectric tensor
    VI. Waves in cold and warm plasmas: CMA diagram; phase velocity surfaces; polarization and particle orbits; Fredericks and Stringer diagrams for low-frequency waves
    VII. Electromagnetic waves: ordinary and extraordinary waves, Appleton-Hartree formula, microwave diagnostics. Alfven waves whistlers, e.m., cyclotron waves
    VIII. Electrostatic waves: Bohm-Gross waves, ion acoustic waves. two-ion hybrid waves, ion cyclotron waves
    IX. Wave packets and group velocity in anisotropic media; resonance cones
    X. Diffusion in partially ionized gases
    XI. Resistivity and diffusion in fully ionized gases; magnetic viscosity
    XII. Magnetohydrodynamic (MHD) theory
    XIII. Single-fluid equations
    XIV. Kinetic theory; Vlasov equation and Landau damping
    XV. Basic types of instabilities
  • EEC 231B – Plasma Physics and Controlled Fusion [RF, PE]
  • Units: 4 (4 Lecture)
    Prerequisites: EEC 231A; consent of instructor.
    Catalog Description: Equilibrium plasma properties; single particle motion; fluid equations; waves and instabilities in a fluid plasma; plasma kinetic theory and transport coefficients; linear and nonlinear Vlasov theory; fluctuations, correlations and radiation; inertial and magnetic confinement systems in controlled fusion.
    Expanded Course Description:
    I. Waves in hot plasmas: General hot plasma dispersion, Bernstein modes. cyclotron harmonics, Landau and cyclotron damping
    II. Damping and excitation of waves: resistivity. viscosity, neutral collisions, resonant particles; grids, coils
    III. Waves in bounded plasmas; Trivelpiece-Gould modes
    IV. Accessibility and tunneling
    V. R. F. heating of plasmas
    VI. Tonks-Dattner resonances
    VII. Beam-plasma interactions; convective and absolute instabilities
    VIII. Streaming instabilities; Penrose criterion; current-driven instabilities
    IX. Energy and momentum of waves; positive and negative energy waves
    X. Quailinear diffusions
    XI. Echoes
  • EEC 231C – Plasma Physics and Controlled Fusion [RF, PE]
  • Units: 4 (4 Lecture) 
    Prerequisites: EEC 231B; consent of instructor
    Catalog Description: Equilibrium plasma properties; single particle motion; fluid equations; waves and instabilities in a fluid plasma; plasma kinetic theory and transport coefficients; linear and nonlinear Vlasov theory; fluctuations, correlations and radiation; inertial and magnetic confinement systems in controlled fusion.
    Expanded Course Description:
    I. Neoclassical diffusion
    II. Equilibrium and stability
    III. MHD equilibrium
    IV. Hydromagnetic equilibrium in confinement geometries
    V. Tokamak equilibrium, safety factor, Grad-Shafranov shift
    VI. Rayleigh-Taylor instability, interchange instability
    VII. MHS stability; energy principle
    VIII. Tokamak stability
    IX. Tearing modes’ magnetic reconnection
    X. Sawtooth instability
    XI. Drift waves
    XII. Thomson scattering; collective scattering
    XIII. Parametric instabilities
    XIV. Stimulated scattering
    XV. Laser fusion
  • EEC 232A – Advanced Applied Electromagnetics I [RF]
  • Units: 4 (4 Lecture)
    Prerequisites: EEC 132B or EEC 230
    Catalog Description: The exact formulation of applied electromagnetic problems using Green’s functions. Applications of these techniques to transmission circuits. (Offered in even years)
    Expanded Course Description:
    I. Basic Fundamentals of Electromagnetic Theory
    II. Tensor Properties, Green’s Dyadic
         A. Green’s Functions
         B. Modified Green’s Functions
         C. Green’s Dyadic Function
         D. Modified Dyadic Green’s Function
    III. Two Dimensional Planar Components
         A. Basic concepts
         B. Green’s Functions for Two Dimensional Components
         C. Techniques for Evaluation of Green’s Functions
         D. Green’s Functions for Various Configurations
         E. Segmentation and Desegmentation
    IV. Quasi-Static Analysis of Microstrip
         A. Integral Equation Method
         B. Variational Method in Fourier Transform Domain (FTD)
         C. Microstrip Dispertion Models
    V. Methods of Fullwave Analysis
         A. Analysis of Open Microstrip
         B. Galerkin’s Method in FTD
         C. Analysis of Enclosed Microstrip
         D. Integral Equation Method
    VI. Microstrip Discontinuities
         A. Discontinuity Capacitance Evaluation
         B. Variational Method
               1. Green’s Function Formulation
                     a. Electric Wall Green’s Function
                     b. Magnetic Wall Green’s Function
               2. Green’s Function Formulation for Semi-Infinite Line Source
  • EEC 232B – Advanced Applied Electromagnetics II [RF]
  • Units: 4 (3 Lecture; 3 Laboratory)
    Prerequisites: EEC 131B or EEC 132B
    Catalog Description: An advanced treatment of electromagnetics with applications to passive microwave devices and antennas.
    Expanded Course Description:
    I. Fundamental Concepts
         A. Basic equations
         B. The generalized current concept
         C. Singularities of the field
    II. Waves
         A. Intrinsic wave constants
         B. Radiation
         C. Antenna concepts
         D. On waves in general
    III. Some Theorems and Concepts
         A. The source concept
         B. Duality
         C. Image theory
         D. The equivalence principle
         E. The Induction Theorem
         F. Reciprocity
         G. Green’s Functions
         H. Construction of solutions
    IV. Plane Wave Functions
         A. Alternative mode sets
         B. Partially filled waveguides
         C. Modal expansions of fields
         D. Currents in waveguides
         E. Apertures in ground planes
         F. Plane current sheets
    V. Cylindrical Wave Functions
         A. Radial waveguides
         B. Circular cavity
         C. Other guided waves
         D. Sources of cylindrical waves
         E. Two dimensional radiation
         F. Wave transformations
         G. Scattering
    VI. Spherical Wave Functions
         A. Sources of spherical waves
         B. Wave transformations
         C. Scattering by spheres
    VII. Planar circuits
         A. Analysis of planar circuits having single shapes
               1. Basic equations
               2. Derivation of circuit characteristics
               3. Examples of analysis based on Green’s Functions
               4. Determination of equivalent circuit parameters
               5. Energy considerations
               6. Equivalent circuit of a multipart planar circuit
         B. Analysis of planar circuits having arbitrary shapes
               1. Basic formulation of the Contour-Integral Method
  • EEC 233 – High Speed Signal Integrity [RF]
  • Units: 4 units (3 Lecture; 1 Discussion) 
    Prerequisites: EEC 130B
    Catalog Description: Design and analysis of interconnects in high-speed circuits and sub-systems; understanding of high-speed signal propagation and signal integrity concepts; electromagnetic modeling tools and experimental techniques.
    Expanded Course Description:
    I. Overview of Interconnect Design and Digital Systems Engineering
    II. Analysis of Interconnects
         1. Electrical Models of Interconnects
         2. Non-ideal Interconnect Issues
         3. Connectors, Packages, and Vias
    III. Frequency- and Time-Domain Measurements and Modeling Tools
         1. Definition of Mixed-Mode S-parameters
         2. Multiport Mixed-Mode S-parameter Measurements
         3. Time-Domain Reflectometry
         4. Electromagnetic Simulators
    IV. Noise in Digital Systems
         1. Power Supply Noise
         2. Crosstalk (NEXT and FEXT)
         3. Intersymbol Interference
         4. Timing, Skew, and Jitter
    V. Electromagnetic Interference (EMI)
         1. Physical Mechanisms of Radiation
         2. EMI Suppression Techniques
  • EEC 234A – Physics and Technology of Microwave Vacuum Electron Beam Devices I [RF, Circ, PE]
  • Units: 4 (4 Lecture) 
    Prerequisites: B.S. degree in physics or engineering or the equivalent background or consent of instructor
    Catalog Description: Physics and technology of electron beam emission, flow and transport, electron gun design, space charge waves and klystrons with applications to accelerator systems, RF power sources for radar and communication systems, thermionic energy conversion, and electric space propulsion. Recent advances in materials and manufacturing technologies are also reviewed.
    Expanded Course Description:
    1. Definition and Classification of Microwave Vacuum Electron Devices, VED Applications
    2. Relativistic Lorentz Force Equation, Busch’s Theorem, Motion in a Uniform Magnetic Field, Motion in Crossed Electric and Magnetic Fields, Magnetron Cut-Off Condition
    3. Space charge Interactions Between Electrons, The Effect of the Self-Magnetic Field Included in the Lorentz Force Equation
    4. Space Charge Limited Flow with No Thermal Velocities, Between Parallel Plates – Child’s Law, Between Concentric Cylinders – Kirstein Flows, Between Concentric Spheres
    5. Application to Electron Gun Design
    6. Space Charge Flow Between Parallel Plates with Thermal Velocities, Space Charge Limited Operation
    7. Cathodes, Richardson – Dushman Equation, Schottky Effect, Field Emission; the Fowler Nordheim Equation
    8. Cathode Types, Oxide Coated Cathode, Thoriated Tungsten Cathode, Dispenser Cathodes (“L” Cathode, Phillips “A” Cathode, Phillips “B” Cathode, “M” Cathode, Mixed Metal Matrix Cathode, Scandate Cathode)
    9. Paraxial Beams
    10. Electron Lenses, Thin Weak Lens Approximation,
    11. Solving the Paraxial Ray Equation – Cold Beams, Laminar Flow Equation, Brillouin Flow, Confined Flow, Periodic Permanent Magnet Focusing, λp/L, Universal Beam Spread Curve
    12. Thermal Paraxial Beams
    13. Electron Gun Design
    14. Depressed Collectors
    15. Klystron History and applications
    16. Kinematic and space charge theory
    17. Cavity physics and design
    18. Klystron manufacture, processing and testing, recent advances
    19. Simulations
    20. Design examples
    21. Multi-beam and sheet-beam klystrons
  • EEC 234B – Physics and Technology of Microwave Vacuum Electron Beam Devices II [RF, Circ, PE]
  • Units: 4 (4 Lecture) 
    Prerequisite: Course EEC 234A or consent of instructor
    Catalog Description: Theory, modeling, and experimental design of traveling wave tubes, backward wave oscillators, and extended interaction oscillators employed in satellite commutations, plasma imaging, and underground imaging systems. 
    Expanded Course Description:
    1. Introduction and Physical Mechanisms of TWT Operation
    2. Pierce Theory; Interaction with Helices and other Uniform Transmission Lines
    3. Derivation of the TWT Dispersion Relation, Pierce Parameters, Analytic Solution of the Determinental Equation, Numerical Solution of the Determinental Equation
    4. Launching and Space charge loss, Severs
    5. Estimating Interaction Efficiency
    6. Saturation and Large Signal Effects, Tien disc mode, Hess’ compressible block model, Cutler’s and Dimonte’s basic experimental saturation studies
    7. Helix and helix-derived circuits, dispersion modeling and shaping, Single tape helix, Stub supported ring and bar circuit, Bifilar or double tape helix, Ring and bar circuit, Cross-wound or contra-wound helix, and Gap-strapped bifilar helix
    8. Representative helix TWTs
    9. Coupled Cavity TWT circuits, equivalent circuits, slot and cavity modes, loss beads, ferrules
    10. Representative Coupled cavity TWTs
    11. Computer simulation and design
    12. Backward wave oscillators
    13. Extended interaction oscillators
  • EEC 234C – Physics and Technology of Microwave Vacuum Electron Beam Devices III [RF, Circ, PE]
  • Units: 4 (4 Lecture) 
    Prerequisites: Course EEC 234B or consent of instructor
    Catalog Description: Physics and technology of gyrotrons, gyro-amplifiers, free electron lasers, magnetrons, cross-field amplifiers, and relativistic devices employed in plasma fusion reactors, microwave heating, and high power microwave applications
    Expanded Course Description:
    1. Magnetron history and physical principles
    2. Basic Physics of Crossed Field Devices, Hull Cutoff, Buneman-Hartree condition
    3. Linear magnetron
    4. Radial magnetron geometries; conventional radial magnetron configuration; cathode is at the center; magnetron in double-strapped configuration for pi mode operation, rising-sun magnetron, and coaxial magnetron, inverted magnetron
    5. Crossed Field Amplifiers; Continuous cathode emitting-sole CFA, forward or backward wave; Injected-beam CFA
    6. Current CFA Tubes
    7. M-Carcinotron Oscillators
    8. Gyrotron (Electron Cyclotron Maser) overview and applications
    9. Quantum Mechanical Approach
    10. Small Signal Kinetic Treatment
    11. Nonlinear Effects and Saturation
    12. Simple Efficiency Estimates, Single Particle Efficiency, Bunching Estimates
    13. Nonlinear Particle Equations
    14. Full Numerical Calculations
    15. Early Gyrotron Studies
    16. Gyrotron Electron Beam Formation
    17. Gyrotron Cavities
    18. Gyrotron Oscillators
    19. Non-Axis Encircling Devices
    20. Large Orbit, Axis-Encircling Devices
    21. Gyro-TWTs
    22. Gyro-Klystrons
    23. Gyro-BWOs
    24. Cyclotron Autoresonance Maser (CARM)
    25. Free Electron Laser (FEL)
    26. Peniotron
  • EEC 235 – Photonics [Pho, RF, PE, Circ]
  • Units: 4 units (3 Lecture; Project)
    Prerequisites: EEC 130B; EEC 230 recommended
    Catalog Description: Optical propagation of electromagnetic waves and beams in photonic components and the design of such devices using numerical techniques.
    Expanded Course Description:
    The course focuses on the propagation of electromagnetic waves and beams in photonic components and the design of such devices using numerical techniques.
    I. Optical Propagation of Planewaves in Stratified Media
         A. Multilayered media.
         B. Planar optical waveguides.
         C. Periodic media.
         D. Photonic bandgap structures.
    II. Optical Propagation of Beams
         A. Paraxial wave equation, Gaussian beam solutions.
         B. The eikonal equations, ray tracing, ABCD matrices of linear media and optical elements.
         C. Transformation of Gaussian beams by optical elements.
    III. Practical Optical Waveguides
         A. Rectangular waveguides – Wave equation and Effective index method
         B. Radiation from waveguides
         C. Optical fibers – single mode step index fibers, multimode step index fibers, graded index fibers, birefringent fibers
         D. Dispersion and transmission capacity
    IV. Coupled Mode Theory
         A. Codirectional couplers
         B. Contradirectional couplers
         C. Derivation of coupling coefficients
    V. Numerical Methods
         A. Fast Fourier Transform Beam Propagation Method
               i. Pulse propagation in fibers
               ii. Field propagation in waveguides
         B. Finite Difference Beam Propagation Method
         C. Staircase Concatenation Method
    VI. Analysis and Design of Lightwave Components
         A. Mach-Zehnder interferometer
         B. Ring resonators
         C. Fiber Bragg Gratings
         D. N x N star coupler
         E. Arrayed-waveguide Grating
         F. Add/drop multiplexer
         G. N x N matrix switch
  • EEC 236 – Nonlinear Optical Applications [Pho, PE, Info]
  • Units: 3 (3 Lecture)
    Prerequisites: EEC 130B or equivalent; EEC 230 (can be concurrent)
    Catalog Description: Nonlinear optical interactions have important applications in optical information processing, telecommunications and integrated optics. The basic concepts underlying optical nonlinear interactions in materials and in guided media are presented. (Offered in alternate years) (Not open to credit for those students who have taken course EEC233.)
    Expanded Course Description:
    One of two courses to provide the required background for graduate students interested in opto-electronics. This course will concentrate on nonlinear phenomena caused by optical radiation.
    I. Wave Propagation in Anisotropic Media; Anisotropic Optical Elements
    II. Nonlinear Optical Interactions
         A. Nonlinear susceptibility of a classical anharmonic oscillator
         B. Wave equation for nonlinear optics
         C. Properties of nonlinear susceptibility tensors
    III. Sum- and Difference-Frequency Interactions
         A. Coupled wave equations
         B. Sum-frequency generation
         C. Difference-frequency generation and parametric amplification
         D. Second-harmonic generation
         E. Phase matching
         F. Nonlinear optical interactions with Gaussian beams
    IV. Nonlinear Processes in Fibers
         A. Self-phase modulation
         B. Nonlinear Schroedinger Equation
         C. Optical soliton
    V. Electro-Optic Effects
    VI. Photorefractive Effects
  • EEC 237A – Lasers [Pho, PE]
  • Units: 3 (3 Lecture; Project) 
    Prerequisite: EEC 130B or equivalent; EEC 235
    Course Description: Theoretical and practical description of lasers. Theory of population inversion, amplification and oscillation using semiclassical oscillator model and rate equations. Description and design of real laser systems.
    Expanded Course Description:
    I. Atomic Transitions
         A. Classical oscillator model
         B. Stimulated emission
    II. Complex Atomic Susceptibility
         A. Dipole transitions, transition strength
         B. Dephasing, decay rate
    III. Rate Equations for Ensemble of Atoms
         A. Stimulated transition rates, cross sections
         B. Nonradiative relaxation
         C. Linewidth for homogeneous ensemble
         D. Two, three and four level systems
         E. Pumping
    IV. Laser Amplification
         A. Small signal gain
         B. Homogeneous saturation
         C. Phase effects of gain
         D. Inhomogeneous systems-hole burning
    V. Optical Resonators
         A. Review of basic resonator theory
         B. Three and N mirror cavities
         C. Mode frequencies
    VI. Laser Oscillation
         A. Threshold condition
         B. Oscillation frequency-frequency pulling
         C. Output power-optimization of output coupling
         D. Large gain output coupling
    VII. Real Laser Systems
         A. Solid state (crystal and glass) lasers
         B. Dye and color center lasers
         C. Gas lasers
         D. Simplified description of semiconductor lasers
    VIII. Oscillation Dynamics in Lasers
         A. Coupled cavity and atomic rate equations
         B. Laser spiking, relaxation oscillations
         C. Q switching-active and passive
         D. CW mode competition-spatial hole burning
  • EEC 237B – Advanced Lasers [Pho, PE]
  • Units: 3 (3 Lecture) 
    Prerequisite: EEC 237A
    Catalog Description: Quantum mechanical description of lasers and interactions of materials with laser light. Relationship to rate equation approach. Optical Bloch equations and coherent effects. Theory and practice of active and passive mode-locking of lasers. Injection locking.
    Expanded Course Description:
    I. Quantum Mechanics
         A. Schroedinger wave equation and time-dependent perturbations
         B. Fermi’s Golden Rule
         C. Density matrix formalism-decay rates and dephasing
         D. Stimulated transitions
         E. Origins of second- and third-order nonlinear susceptibility
         F. Two photon absorption and Raman effect
    II. Coherently Driven Oscillators
         A. Adiabatic elimination of polarization-rate equations and their validity
         B. Strong signal behavior
         C. Rabi frequency
         D. Optical Bloch equations
    III. Coherent Effects in Interaction of Light with Matter
         A. Coherent transients
         B. Self-induced transparency, pulse area theorem, 0-p pulses
         C. Photon echoes
         D. Optical Stark effect
         E. Magnetic dipole transitions
    IV. Active Mode-Locking of Lasers
         A. Time and frequency domain analysis
         B. AM and FM mode-locking
         C. Practical methods of gain and loss modulation
         D. Complete and partial locking
    V. Passive Mode-Locking of Lasers
         A. Saturable absorbers and pulse shortening
         B. Slow and fast absorbers
         C. Other methods of effective instantaneous loss modulation
         D. Ultrashort pulse systems
    VI. Laser Injection Locking
         A. Basic analysis of injection
         B. Locked oscillator regime
         C. Pulsed injection locking
  •  EEC 238 – Semiconductor Diode Lasers [Pho, PE]
  • Units: 4 (4 Lecture) 
    Prerequisite: EEC 140A or EEC 140AV
    Catalog Description: Understanding of fundamental optical transitions in semiconductors and quantum-confined systems are applied to diode lasers and selected photonic devices. The importance of radiative and non-radiative recombination, simulated emission, excitons in quantum wells, and strained quantum layers are considered.
    Expanded Course Description:
    I. Interband Transitions and Elementary Excitations
         1. Linear optical absorption, refractive index
         2. Excitons
         3. Impurity level transitions
         4. Free-carrier absorption
    II. Perturbation of Physical Properties
         1. Pressure – band edge shift and selection rules
         2. Electric fields: Quantum confined Stark effect
         3. Franz-Keldysh effect
    III. High-Density Excitation, Optical Amplifiers
         1. Stimulated emission, optical gain
         2. Bandgap renormalization
    IV. Semiconductor Lasers and LEDs
         1. Carrier confinement
         2. Photon confinement
         3. Double Heterostructure
         4. VCSELs
         5. DFB, DBR lasers
    V. Laser Properties
         1. Threshold
         2. Relaxation Resonance
         3. Efficiency and Heat flow
         4. Gain and Index dynamics
         5. Pulse propagation
  • EEC 239A – Optical Communication Technologies for High-Speed Data Networking [Pho, Circ, Info]
  • Units: 4 (4 Lecture) 
    Prerequisites: EEC 130B
    Catalog Description: Physical layer issues for component and system technologies in optical fiber networks. Sources of physical layer impairments and limitations in network scalability. Enabling technologies for wavelength-division-multiplexing and time-division-multiplexing networks. Optical amplifiers and their impact in optical networks (signal-to-noise ratio, gain-equalization, and cascadability). Note: Students previously enrolled in course EEC239 may not receive credit for this course.
    Expanded Course Description:
    I. Motivation
         A. Optical layer and relationship to classical layer models
         B. Classification of network types, definition of transparency
         C. Optical media access techniques (TDM, WDM)
    II. Optical Network Model
         A. Basic optical network structure
         B. Network elements designed
         C. Required functionality of Optical Network Elements
    III. Enabling Technologies
         A. Optoelectronic transmitters and receivers
         B. Review of digital transmitter and receiver design and function
         C. Optical sources, optical modulators, optical filters, switches and wavelength routers
         D. Optical amplifiers
         E. Wavelength converters (optoelectronic and all-optical)
    IV.
         A. Optical fiber bandwidth and dispersion
         B. Optical nonlinearities, optical crosstalk
         C. Dynamic range limitations, dynamic effects
         D. Short pulse transmission
         E. Point-to-point transmission analysis
         F. Physical link model
         G. TDM and WDM performance evaluation
         H. Effect of cascaded network elements
         I. Physical Layer Impairments
  • EEC 239B – High-Capacity Optical Data Systems and Networks [Pho, Circ, Info]
  • Units: 4 (4 Lecture) 
    Prerequisites: EEC 239A
    Catalog Description: Physical layer optical communications systems in network architectures and protocols. Optical systems design and integration using optical component technologies. Comparison of wavelength routed WDM, TDM, and NGI systems and networks. Case studies of next generation technologies. Note: Students previously enrolled in course EEC239 may not receive credit for this course.
    Expanded Course Description:
    I. Motivation
         A. First Generation, Second Generation and Third Generation Optical Networks
         B. Optical Packet Switching and Next Generation Optical Internet
         C. Integration of Optical and Data Networking
         D. The Role of Networking Elements
    II. Wavelength Routed Networks
         A. Relation between User and Network Bandwidth
         B. WDM Node Design
         C. Number of Wavelengths Needed to Support, Wavelength Conversion
         D. Wavelength Registration and Other Requirements for Wavelength Routed Networks
    III. Time Division Multiplexed Networks
         A. Relation Between User and Network Bandwidth
         B. TDM Mode Design
         C. Synchronization and Other Requirements for TDM
    IV. Next Generation Optical Internet
         A. Connection-oriented vs. Connectionless Optical Networks
         B. NGI Node Design
         C. Requirements for NGI Nodes
    V. Advanced Enabling Technologies for Next Generation Networks
         A. Rapidly Tunable Wavelength Converters
         B. Subcarrier Transmitters and Receivers
         C. Optical-Label Swapping
         D. Raman Amplifiers
    VI. Case Studies
         A. Optical-Networking Testbeds
         B. Future Optical Networks and the Next Generation Internet
         C. Multiprotocol Label Switching and Optical-Label Switching
  • EEC 240 – Semiconductor Devices [PE, Circ]
  • Units: 3 (3 Lecture) 
    Prerequisites: EEC 140B
    Catalog Description: Physical principles, characteristics and models of various semiconductor devices including: P-N junction and metal-insulator-semiconductor diodes, junction and insulated gated field effect transistors.
    Expanded Course Description:
    I. Unipolar Devices
         A. Metal-Insulator-Semiconductor Diodes
               1. Introduction
               2. Ideal Metal-Insulator-Semiconductor (MIS) Diode
               3. Surface States, Surface Charges, and Space Charges
               4. Effect of Metal Work Function, Crystal Orientation, Temperature, Illumination, and Radiation on MIS Characteristics.
         B. Insulated Gate Field Effect Transistors
               1. Introduction
               2. Surface-Space-Charge Region Under Nonequilibrium Condition
               3. Channel Conductance
               4. Basic Device Characteristics
               5. Device Models
               6. Floating Gate Devices
         C. Metal-Semiconductor Diodes
               1. Introduction
               2. Schottky Effect
               3. Energy Band Relation at Metal-Semiconductor Contact
               4. Current Transport Theory in Schottky Barriers
               5. Measurement of Schottky Barrier Height
         D. Metal-Semiconductor Field Effect Transistors
         E. Junction Field Effect Devices
    II. Bipolar Devices
         A. P-N Junctions
               1. Basic device theory
               2. Current-Voltage Characteristics
               3. Capacitance-Voltage relationships
               4. Terminal Functions
         B. Bipolar Transistors
               1. Introduction
               2. Basic Device Theory
               3. Current-Voltage Characteristics
               4. Drift assisted Transport.
               5. Device Models including Ebers-Moll and Gummel-Poon
    III. Photonic Devices
         A. Light-Emitting Diode
         B. Photovoltaics and Solar Cells
         C. Optical Photodetectors
  • EEC 241 Introduction to Molecular Electronics [PE, Circ]
  • Units: 4 (4 Lecture) 
    Prerequisites: Consent of instructor
    Catalog Description: Examines molecules for electronic devices and sensors. Course covers: electronic states of molecules, charge transport in nanoscale systems, and fabrication and measurement of molecular-scale devices. Specific Topics: Hartree-Fock and Density Functional Theory, Landauer formalism, coulomb blockade, tunneling and hopping transport.
    Expanded Course Description:
    1. Electronic states of molecules. Beginning with a review of Quantum Mechanics we will examine the development atomic orbitals and discuss early approaches to describing the electronic states and wavefunctions in molecules such as Linear Combination of Atomic Orbitals and extended Huckel Theory. This will lead to a discussion of modern ab initio methods including Hartree-Fock and Density Functional Theory.
    2. Charge Transport in Nanoscale systems. After learning how to describe the electronic states of molecular systems, we will develop methods for describing charge transport in these systems. After reviewing Density of States and the coupling of 3-D systems to 1-D or 0-D systems we will begin describing charge transport. This will include time-dependent perturbation theory and the development of Fermi’s Golden Rule, Marcus Theory, and Landauer’s Formula. Once these basics are covered we will use them in describing specific nanoscale transport phenomena such as superexchange, coulomb blockade, and hopping mechanisms.
    3. Fabrication of Devices and Applications. Once the principles of molecular-scale systems are understood we will discuss how to fabricate these devices and describe several example molecular devices including transistors, diodes, and wires.
  • EEC 242 – Advanced Nanostructured Devices [PE]
  • Units: 3 (3 Lecture) 
    Prerequisites: EEC 130A, (EEC140A or EEC 140AV)
    Catalog Description: Physics of nano-structured materials and device operation. Overview of new devices enabled by nanotechnology; fabrication and characterization methods; applications of nano-structures and devices.
    Expanded Course Description:
    Nanoscale engineering is a fundamental skill needed for future generations of technologists to understand the world they will face. This course will review from a device perspective the current and foreseen advances in this field.
    This course provides students with an overview of the field of nanodevices. It is designed to give students an understanding of the driving forces toward nanoscale in the technology of devices and systems, the advantages and implications of scaling down devices, the commercial impact, etc. Students will gain familiarity with advanced techniques needed to develop, characterize and verify nanoscale devices: materials, fabrication and device operation. Students will be introduced to methods of manufacturing nanoscale devices and systems – standard techniques as well as novel ones.
    Students will be able to appreciate and explain the effects of nanoscale sizes in devices; understand manufacturing technologies at the nanoscale level, their advantages and challenges; identify opportunities for nano-scaling devices; discuss specific nanoscale devices such as sensors or FET’s made of nanotubes or nanowires, and explore possible novel applications.
    1. Introduction to Nanotechnology – Moore’s Law
    2. Physics of the Low Dimensional Materials, Quantization, Lateral Confinements (Quantum Electronics)
    3. Properties of Individual Nanoparticles
    4. Ensembles of Nanostructured Materials, Self Assembly
    5. Quantum Wells, Wires and Dots, Surface Effects, Transport Characteristics
    6. Nanoscale Optical Devices (Nano-emitter, Nano-detectors, Nanoscale Light Guides, etc.)
    7. Carbon Nanostructures (Carbon Based Fullerenes and Nanotubes)
  • EEC 243 – Optical Imaging and Microscopy [Pho, PE, Bio, Info]
  • Units: 4 (3 Lecture; 1 Discussion) 
    Prerequisites: PHY009B required; EEC130AB recommended
    Catalog Description: Theory and techniques of optical imaging and microscopy. Fourier optics; light propagation and light detection; imaging contrast mechanisms; optical and microscopy techniques.
    Expanded Course Description:
    This course discusses the theory and techniques of optical imaging and microscopy. It intends to provide an in-depth understanding of optical imaging mechanisms, design theories and implementation techniques. The course is divided into two parts. The first part is centered on Fourier optics and discusses light propagation and light detection. The second part is dedicated to various optical and microscopy techniques, in particular, to contrast mechanisms and to the repertoire of tricks.
    I. Fourier optics, light propagation and light detection
         A. Review of Fourier analysis, linear system, and geometric optics
         B. Monochromatic field propagation
         C. Wave-optics analysis of coherent optical systems
         D. Intensity propagation
         E. 3D imaging
         F. Detector noise
         G. Scattering and absorption
         H. Phase contrast
    II. Optical and microscopy techniques
         A. Digital holography
         B. Optical coherent tomography
         C. Fluorescence
         D. Confocal microscopy
         E. Two-photon microscopy
         F. Coherent nonlinear microscopy
         G. Structured illumination microscopy
         H. Point spread function and transfer function engineering
         I. Superresolution
         J. Light field imaging and microscopy
  • EEC 244 – Introduction to Neuroengineering [PE, Bio]
  • Units: 4 units (1 Lecture, 1 Discussion) 
    Prerequisites: Graduate Standing (or instructor approval)
    Catalog Description: Neuroengineering is emerging as the field where engineering, medicine and neuroscience
    come together to produce innovative research and impactful solutions that address the broad range of
    pathologies of the central and peripheral nervous system. The unifying objective of this team-taught
    interdisciplinary course is to introduce students to the key research areas and tools in
    neuroengineering, and employ NIH-style proposal writing exercises to integrate course content into
    potential projects.
    Expanded Course Description: Students will be asked to write a mini proposal for a project (e.g., device,
    technology, computation) that addresses a neuroscience or neurobiology question, or clinical need. The
    proposal will use a National Institutes of Health proposal structure or National Science Foundation
    Graduate Research fellowship structure and will be formatted to serve as a foundation for pre-doctoral
    fellowship applications, thereby training students on essential proposal writing skills. The proposal
    development will be broken into individual assignments and will occur throughout the course. Students
    are encouraged to get input from their mentors on this and to discuss with fellow graduate students.
    The proposals will be reviewed by the instructor as well as the peers. The students will then write a
    rebuttal in response to the reviewer comments and revise the proposal accordingly as the final
    proposal.
  • EEC 245 Micro- and Nano-Technology in Life Sciences [Bio, PE]
  • Units: 4 (4 Lecture/Discussion)
    Prerequisites: Graduate standing or consent of instructor
    Catalog Description: Survey of biodevice design from engineering and biological perspectives; micro-/nano-fabrication techniques; surface science and mass transport; essential biological processes and models; proposal development skills on merging aforementioned themes.
    Expanded Course Description:
    1. Micro- and Nano-Manufacturing. We will examine key micro- and nano-fabrication techniques and discuss relevant processing and characterization instruments. There will be a special emphasis on the challenges and design considerations in process development.
    2. Surface Science and Mass Transfer. We will review techniques to engineer advanced surfaces by modulating morphology and chemistry. In addition, we will discuss 3D morphology and its implications on molecular transport within and from functional device coatings.
    3. Essential Biology. Following an introduction to basic anatomy, physiology, and pathology, we will study how living organisms interact with inorganic devices. We will emphasize the ways tissues respond to biomedical devices and how this response can be tuned by modulating device properties.
    4. We will survey important device components such as biosensors and actuators that are built using the tools discussed in Sections 1 and 2 with a special emphasis towards addressing the biological requirements/constraints outlined in Section 3.
    5. The fundamental knowledge acquired up to this point will be put in context by deconstructing existing and developing technologies. Examples will include bioimplantable devices for treating medical disorders. Additional examples will be discussed in accordance with the interests of the class.
  • EEC 246 – Advanced Projects In Ic Fabrication [PE]
  • Units: 4 (1 Discussion/6 Laboratory)
    Prerequisites: EEC 146A or equivalent
    Catalog Description: Individualized projects in the fabrication of analog or digital integrated circuits.
    Expanded Course Description:
    I. Review of Technologies Available for Use in IC Lab and Definition of Term Project
    II. Design of Circuit
    III. Breadboard Testing
    IV. Computer Aided Layout
    V. Fabrication
    VI. Testing
  • EEC 247 – Advanced Semiconductor Devices [PE]
  • Units: 3 (3 Lecture)
    Prerequisite: Graduate Standing in Engineering
    Catalog Description: Semiconductor devices, including MOSFETs, heterojunction transistors, light-emitting diodes, lasers, sensors, detectors, power and high-voltage transistors, MEMS resonators, organic semiconductors and photovoltaics. All material is from the recent literature, encouraging students to utilize search methods and critically assess the latest research.
    Expanded Course Description:
    To provide a thorough understanding of the current frontiers in semiconductor device research, and the challenges they pose. Intended to complement the theory presented in EEC240, 241, 242, 243 and 238.
    1. Metal Oxide Semiconductor (MOS) Devices (5 lectures)
         A. National Technology Roadmap directives
         B. sub-100nm MOS challenges
         C. Fin-FETs and three-dimensional devices
    2. Microwave-Frequency Transistors (4 lectures)
         A. MODFET
         B. Heterojunction bipolar structures
         C. Active Si microwave transistors
    3. Optoelectronic Semiconductor Devices (5 lectures)
         A. VCSEL and other laser arrays
         B. New heterojunction LED types
         C. Photodetectors
         D. Optical switches and amplifiers
         E. Active nanocrystal devices
    4. Sensors and Detectors (4 lectures)
         A. CCD array technology
         B. Gas sensors
         C. ChemFET techniques
         D. High-frequency avalanche detectors
         E. Low-noise techniques
    5. Power and High-Voltage Devices (4 lectures)
         A. Solid-state thyristors and power switches
         B. Insulated-gate HBT devices
         C. Bipolar power methods
         D. Integrated power circuits
    6. Active and Passive MEMS Devices (4 Lectures)
         A. Pressure sensors
         B. Microfluidic devices
         C. tunable passive resonators
         D. Accelerometers
         E. Micro-mirror and optical mechanical arrays
    7. Other Semiconductor Device Types (4 Lectures)
         A. Organic semiconductors
         B. Solid-state lighting devices
         C. Solar cells and photovoltaics
  • EEC 248 – Photovoltaics and Solar Cells [PE, Pho]
  • Units: 3 
    Prerequisites: EEC 140B or equivalent, or permission of instructor
    Catalog Description: Physics and application of first, second, and third-generation photovoltaics and solar cells, including design, fabrication technology, and grid incorporation. Mono and microcrystalline silicon devices; thin-film technologies, heterojunction and organic-semiconductor technologies. Collectors, electrical inverters and infrastructure issues. Economics, political and commercial challenges, and environmental and aesthetic concerns.
    Expanded Course Description:
    I. Basic p-n junction physics of photovoltaics
         A. Device operation and performance metrics
         B. Properties of solar radiation
         C. Design of practical Solar Cells
         D. Efficiency limits in energy conversion
    II. 1st, 2nd, and 3rd-Generation Solar Cells and “The Grid”
    III. Silicon Monocrystalline PV devices
         A. Fabrication methods for devices
         B. Interconnect methods
         C. Solar modules, design and fabrication
         D. Loss mechanisms and mitigation strategies
    IV. Microcrystalline Si, and effects of defects on PV
    V. Thin-Film Solar Cells
         A. alpha-Si on glass
         B. CdTe thin films
         C. CIGS devices
    VI. Heterojunction PV devices
    VII. Multi-layer, wavelength-selective strategies
    VIII. Non-solar PV usages and methods
    IX. Organic semiconductors
    X. Dye-sensitized solar devices
    XI. Light Management
         A. Anti-reflection schemes
         B. Concentrators and collectors
         C. Confinement and photon recycling
         D. Rotation and optics
    XII. Economics of Solar-Cell acceptance
         A. Payback and grid-parity
         B. Aesthetics and behavior
         C. Incentives
         D. Politics
         E. Regulations
         F. Utilities and business issues
    XIII. Grid-connected photovoltaic systems
         A. Inverters, efficiency, and phase issues
         B. Energy demand and system load constraints
         C. Environment and resource is
    XIV. Environment and resource issues
    XV. Electrical infrastructure and efficiency
  • EEC 249 – Nanofabrication [PE]
  • Units: 4 (3 Lecture; 1 Discussion) 
    Prerequisites: Graduate Standing in Engineering
    Catalog Description: Theory and practices of nanofabrication for producing electronic devices, optoelectionics, sensors, MEMS, Nanostructures, Photonic Crystals, Single-Electron Transistors, Resonators, Phase-Change and Smart Materials. Study of electron-, photon-, and ion-beams and their interactions with solids. Characterization methods and physical limits are examined.
    Expanded Course Description:
    To provide a rigorous understanding of the theory of nanofabrication processes. Intended to complement the practical education provided by EEC146A/B and EEC246.
    I. Energetic Sources from Electrons, Ions, and Photons (4 lectures)
         A. Electron Sources, Optics, and Interactions
         B. Ion Sources, Optics, and Interactions. Ion Implantation.
         C. Photon Sources, X-Rays, Optics, and Interactions
    II. Plasma Processes (5 lectures)
         A. Vacuum Science
         B. Plasma Basics, Chemistry, Glow
         C. Isotropic and reactive ion etching
         D. Ion milling, focused ion beam (FIB), Chemically-Assisted ion beam etch
    III. Sputter Deposition (4 lectures)
         A. targets, substrates, and systems for deposition
         B. Sputtering gas
         C. Rates, sputter yields and uniformity
         D. Comparison with other physical deposition technologies (e.g., evaporation, SOL-GEL, etc.)
    IV. Chemical Vapor Deposition (6 lectures)
         A. CVD methods and systems
         B. Epitaxy
         C. Vapor-Liquid-Solid (VLS) Growth
         D. Deposition of various materials (insulators, semiconductors, conductors)
         E. Organometallic VPE and Molecular Beam Epitaxy (MBE)
    V. Pattering (4 lectures)
         A. Extreme UV Methods
         B. E-Beam and Ion-Beam Lithography
         C. Direct-Write Methods
    VI. Characterization Technology (4 lectures)
         A. Imaging Microscopy, SEM and TEM
         B. Analytical Microscopy (EDX, SNFOR)
         C. Raman and FTIR
         D. Rutherford Backscattering and Channeling
         E. Auger and XPS
         F. SIMS and SNMS
    VII. Fundamental Limits to Feature Definition (3 Lectures)
         A. Quantum Physical Limits
         B. Materials Limits
         C. Device, Circuit and System Limits
  • EEC 250 – Linear Systems And Signals [Info, Circ]
  • Units: 4 (4 Lecture) 
    Prerequisites: EEC 150
    Catalog Description: Mathematical description of systems, selected topics in linear algebra. Solution of the state equations and an analysis of stability, controllability, observability, realizations, state feedback and state estimation. Discrete-time signals and system, and the Z-transform.
    Expanded Course Description:
    Mathematical Description of Systems
    The Input-Output Description
    The State-Variable Description
    Frequency Domain Representation
    Selected Topics in Linear Algebra
    Representation and similarity transformation
    Eigenvalues, eigenvectors, and Jordan form
    Functions of a square matrix
    Analysis of Continuous-Time Systems
    Solutions of linear time-invariant dynamic equations
    Equivalent Systems
    Controllability and Observability
    State Feedback and State Estimation
    State Feedback
    State Estimators
    Connections of State Feedback and State Estimators
    Analysis of Discrete-Time Systems
    Sampling of Continuous-time Signals
    The Z-transform and its Inverse Transform
    Introduction to the Stability Analysis
  • EEC 251 – Nonlinear Systems [Info, Circ, Bio]
  • Units: 4 (4 Lecture)
    Prerequisites: EEC 250
    Catalog Description: Nonlinear differential equations, second-order systems, approximation methods, Lyapunov stability, absolute stability, Popov criterion, circle criterion, feedback linearization techniques.
    Expanded Course Description:
    Basic analysis techniques for nonlinear systems.
    Normed Linear Vector Spaces; Inner Product Spaces
    Convergence
    Cauchy sequences, Banach spaces, Hilbert spaces
    Continuity
    Existence and Uniqueness of Solutions
    Contraction mapping theorem
    Lipschitz condition
    Bellman-Gronwall Lemma
    Small gain theorem
    Nonlinear Differential Equations
    Autonomy
    Equilibrium points
    Second-Order Systems
    Phase plane portrait
    Limit cycles
    Bendixson’s theorem
    Poincaré-Bendixson theorem
    Index theory
    Approximation Methods
    Krylov-Baguliubov method
    Describing functions technique, optimal quasilinear
    Lyapunov Stability
    Stable, uniformly stable, attractive, asymptotically stable, globally asymptotically stable equilibrium point
    Class K functions, positive definite functions, decrescent functions, radially unbounded functions
    Derivative along trajectories
    Lyapunov’s direct method
    Invariant set theorems; La Salle’s theorem
    Instability theorems
    Lyapunov stability of linear, time-invariant systems
    Lyapunov’s linearization method
    Krasovskii’s method
    The Luré Problem (Absolute Stability)
    Positive real transfer functions
    Kalman-Yacubovich Lemma
    Aizerman’s conjecture; Kalman’s conjecture
    Circle criterion
    Popov criterion
    Feedback linearization
    Vector fields, forms
    Diffeomorphisms
    Inverse function theorem; Implicit function theorem
    Lie derivative; Lie bracket
    Complete integrability; involutivity
    Frobenius theorem
    Reachability
    Single-input feedback linearization
    Kronecker indices
    Brunovsky canonical form
  • EEC 252 – Multivariable Control System Design [Info, Circ]
  • Units: 4 (4 Lecture)
    Prerequisite: EEC 250
    Catalog Description: Review of single-loop feedback design. Stability, performance and robustness of multivariable control systems. LQG design. H-infinity design. Frequency response methods. Optimization-based design.
    Expanded Course Description:
    To equip students in modern control system design, theory, and techniques for future careers in research and industry.
    Review of Single-Loop Feedback Design
    Design objectives, stability, performance
    Plant uncertainty, robustness, gain and phase margins
    Feedback, controller structure
    Limitations on performance, right half-plane poles and zeros
    Youla parametrization for single-loop systems
    Stability, Performance and Robustness of Multivariable Control Systems
    Stability criteria
    Singular values, performance criteria
    Plant uncertainty
    Robust stability
    Robust performance
    LQG Design
    Solution to LQG problem
    Performance and robustness of optimal state feedback
    Effect of observer; loop transfer recovery
    Right half-plane zeros
    Design example
    H-infinity Design
    H-infinity formulation of design problem
    Youla parametrization
    Solution of the H-infinity problem
    The Hankel Approximation problem
    Design example
    Frequency Response Methods
    Diagonal Dominance
    Nyquist and inverse Nyquist array
    Design procedure
    Optimization Based Design
    Formulation of design objectives as optimization problem
    Convex optimization
    Nonconvex optimization
    Design procedure
  • EEC 254 – Optimization [Info]
  • Units: 3 (3 Lecture)
    Prerequisites: Math 22A; knowledge of FORTRAN or C
    Catalog Description: Modeling optimization problems existing in engineering design and other applications; optimality conditions; unconstrained optimization (gradient, Newton, conjugate gradient and quasi-Newton methods); duality and Lagrangian relaxation; constrained optimization (Primal method and an introduction to penalty and augmented Lagrangian methods).
    Expanded Course Description:
    Modeling Optimization Problems
    Evolution of optimization-based engineering design
    Modeling optimization problems existing in a variety of engineering design situations
    Unconstrained Optimization
    First- and second-order optimality conditions
    Convergence and rate of convergence
    Univariate Optimization
    Various methods (including Fibonacci search, golden section, and curve fitting) for one-dimensional minimization
    Basic Descent Methods
    Steepest descent and Armijo gradient algorithms
    Newton’s method and local convergence
    Conjugate Gradient Method
    Conjugate directions
    Conjugate gradient algorithm
    Rate of convergence
    Partial conjugate gradient method
    Quasi-Newton Methods
    Variable metric concept
    Rank one and rank two updates of the approximate Hessian
    Constrained Minimization
    Optimality conditions
    Local duality and Lagrangian relaxation
    Primal Methods
    Active set method
    Gradient projection method
    Other Methods
    Penalty and barrier methods
    Augmented Lagrangian methods
  • EEC 255 – Robotic Systems [Info, Bio]
  • Units: 3 (3 Lecture)
    Prerequisites: None
    Catalog Description: Introduction to robotic systems. Mechanical manipulators, Kinematics, manipulator positioning and path planning. Dynamics of manipulators. Robot motion programming and control algorithm design.
    Expanded Course Description:
    Introduction to Robotics
    Definition of robotics
    Role of robotics in automation and manufacturing
    Classification of robot manipulators and robotic systems
    Robot Arm Kinematics
    Rigid motions and homogeneous transformation
    Forward kinematics and Denavit-Hartenberg representation
    Inverse kinematics
    Algebraic method
    Geometric method
    Motion Kinematics
    Jacobian
    Singularities
    Inverse velocity and acceleration problems
    Numerical method for inverse kinematics solution
    Manipulator Dynamics
    Lagrange-Euler formulation
    Kinematic and potential energy
    Equations of motion
    Moving coordinate system
    Newton-Euler formulation
    Trajectory Planning
    Polynomial paths and cubic segments
    Linear segments with parabolic blends
    Coordinated Cartesian space motion planning
    Robot Manipulator Control
    Review of PID control methods and disturbance rejection
    Actuator dynamics and independent joint control
    Computed torque method for robot manipulator joint space control
    Cartesian space control problems
  • EEC 256 – Reinforcement Learning [Info]
  • Units: 4 (4 Lecture)
    Prerequisites: EEC 260 or equivalent
    Catalog Description: Reinforcement Learning (RL) is the subset of machine learning, the core of artificial intelligence. Topics include fundamentals of RL, bandit problems, Markov decision processes, and dynamic programming.
    Expanded Course Description:
    1. Introduction
        A. Reinforcement Learning Examples
        B. Limitations and Scope
    2. Multi-arm bandits
        A. k-armed Bandit Problem
        B. Action-value Methods
        C. Incremental Implementation
        D. Upper-Confidence-Bound Action Selection
    3. Finite Markov Decision Processes
        A. Goals and Rewards
        B. Returns and Episodes
        C. Policies and Value Functions
        D. Optimal Policies and Optimal Value Functions
        E. Optimality and Approximation
    4. Dynamic Programming
        A. Policy Evaluation (Prediction)
        B. Policy Improvement
        C. Policy Iteration
        D. Value Iteration
        E. Generalized Policy Iteration
    5. Monte Carlo Methods
        A. Monte Carlo Prediction
        B. Monte Carlo Estimation of Action Values
        C. Monte Carlo Control
        D. Off-policy Prediction via Importance Sampling
        E. Off-policy Monte Carlo Control
    6. Temporal-Difference Learning
        A. TD Prediction
        B. Sarsa
        C. Q-learning
        D. Expected Sarsa
        E. Maximization Bias and Double Learning
    7. n-step Bootstrapping
        A. n-step TD Prediction
        B. n-step Sarsa
        C. n-step Off-policy Learning
        D. Off-policy Learning Without Importance Sampling
        E. A Unifying Algorithm: n-step Q(σ)
    8. On-policy Prediction with Approximation
        A. Value-function Approximation
        B. Stochastic-gradient and Semi-gradient Methods
        C. Linear Methods
        D. Feature Construction for Linear Methods
        E. Nonlinear Function Approximation: Artificial Neural Networks
        F. Memory-based Function Approximation
    9. On-policy Control with Approximation
        A. Episodic Semi-gradient Control
        B. Semi-gradient n-step Sarsa
        C. Differential Semi-gradient n-step Sarsa
    10. Off-policy Methods with Approximation
        A. Semi-gradient Methods
        B. The Deadly Triad
        C. Gradient-TD Methods
    11. Eligibility Traces
        A. TD(λ)
        B. n-step Truncated λ-return Methods
        C. Sarsa(λ)
    12. Policy Gradient Methods
        A. Policy Approximation and its Advantages
        B. The Policy Gradient Theorem
        C. REINFORCE: Monte Carlo Policy Gradient
        D. Actor–Critic Methods
  • EEC 260 – Random Signals And Noise [Info]
  • Units: 4 (3 Lecture)
    Prerequisites: EEC 150; EEC 161; EEC 250 recommended
    Catalog Description: Random processes as probabilistic models for signals and noise. Review of probability, random variables, and expectation. Study of correlation function and spectral density, ergodicity and duality between time averages and expected values, filters and dynamical systems. Applications.
    Expanded Course Description:
    Probability Random Variables, and Expectation (3 weeks)
    The Notion of Probability
    Sets
    Sample Space
    Probability Space
    Conditional Probability
    Independent Events
    Random Variables
    Probability Density
    Functions of Random Variables
    Expected Value
    Moments and Correlation
    Conditional Expectation
    Introduction To Random Processes (1/2 week)
    Introduction
    Generalized Harmonic Analysis
    Signal-Processing Applications
    Types of Random Processes
    Mean and Autocorrelation (1 week)
    Definitions
    Examples of Random Processes and Autocorrelations
    Classes of Random Processes (1/2 week)
    Specification of Random Processes
    Gaussian Processes
    Markov Processes
    Stationary Processes
    The Wiener and Poisson Processes (1 week)
    Derivation of the Wiener Process
    The Derivative of the Wiener Process
    Derivation of the Poisson Process
    The Derivative of the Poisson Counting Process
    Ergodicity and Duality (1/2 week)
    The Notion of Ergodicity
    Mean-Square Ergodicity
    Duality and the Role of Ergodicity
    Linear Transformations, Filters, and Dynamical Systems (1 1/2 weeks)
    Linear Transformation of an N-tuple of Random Variables
    Linear Discrete-Time Filtering
    Linear Continuous-Time Filtering
    Dynamical Systems
    Spectral Density (1 1/2 weeks)
    Input-Output Relations
    Expected Spectral Density
    Coherence and Wiener Filtering
    Time-Average Power Spectral Density and Duality
    White Noise
    Bandwidths
    Spectral Lines
    Autoregressive Models and Linear Prediction (1/2 week)
  •  EEC 261 – Signal Processing For Communications [Info]
  • Units: 4 (4 Lecture First Week)
    Prerequisites: EEC 165, EEC 260 or consent of instructor
    Catalog Description: Signal processing in wireless and wireline communication systems. Characterization and distortion of wireless and wireline channels. Channel equalization and maximum likelihood sequence estimation. Channel precoding and pre-equalization. OFDM and transmit diversity. Array processing.
    Expanded Course Description:
    Basic Digital Communication Systems
    Baseband signal model
    Orthogonal expansions for finite energy signals
    Digital modulation and demodulation
    Channel distortion and intersymbol interference
    Examples of wireless communication systems
    Characterization of Channel Distortions
    Baseband channel modeling
    Practical mobile wireless channels
    Multipath, shadowing, and fading effects
    Doppler effect and channel fading
    Wireless and wireline time-varying channel examples
    Channel Equalization and Sequence Estimation
    Discrete channel models
    Equalization design based on channel response
    Decision feedback equalizers
    Viterbi algorithm
    Training based channel identification and equalization
    LMS and RLS algorithms
    Performance measure
    Channel Equalization without Training using Higher Order Statistics
    Motivation of blind equalization
    Basic principles
    Single input, single output channel identification
    Adaptive blind equalizers based on higher order statistics
    Equalization example of QAM signals in cable modems
    Channel Identification based on Second Order Statistics
    Bandwidth diversity and antenna diversity
    Single input, multiple output (SIMO) equalization algorithms
    Statistical SIMO identification algorithms
    Deterministic SIMO equalization algorithms
    Equalization and co-channel interference in multiuser CDMA systems
    CDMA and TDMA
    Array Processing for Communications
    Beamforming of antenna arrays
    Adaptive beamforming and interference suppression
    Training based adaptive beamforming
    Blind adaptive beamforming
    Blind signal separation
    Tomlinson-Hirashima precoding
    Prefiltering and equalization for known channels
    Prefiltering and equalization for unknown channels
    Diversity in Orthogonal Frequency Division Modulation(OFDM)Precoding and Prefiltering against Distortive Channels
  • EEC 262 – Multi-Access Communications Theory [Info]
  • Units: 4 (3 Lecture) 
    Prerequisites: STA 120 or equivalent, (EEC 173A or ECS 152A)
    Catalog Description: Maximum stable throughput of Poisson collision channels. Classic collision resolution algorithms. Carrier sensing multiple access and its performance analysis. System stability analysis. Joint design of the physical/medium access control layers. Capacity region of multi-access channels. Multi-access with correlated sources.
    Expanded Course Description:
    Students work individually or in pairs (no more than 2 students) on a comprehensive course project. The project will focus on the application of theories and techniques learned in class to emerging multi-access communication systems such as wireless sensor networks. The project may include: 1) application and performance analysis of existing multi-access protocols to wireless sensor networks; 2) design and analysis of new multi-access protocols for wireless sensor networks. The project is designed to bring awareness of the state-of-the-art and potential research problems in the area of multi-access communications.
    This course meets for 10 weeks with 3 hours of lecture each week. Students also work independently on a significant course project.
    Introduction
    Overview of multi-access communications
    Channel, traffic, and protocol models for multi-access communications
    Performance measures
    Classic Network Theoretic Analysis under Infinite Population
    Review of discrete-time random process. Markov chain.
    Poisson collision multi-access channel model
    Maximum stable throughput of multi-access channel
    Upper bounds on maximum stable throughput
    Lower bounds on maximum stable throughput: classic collision resolution algorithms
    Carrier sensing multiple access and its performance analysis
    Classic Network Theoretic Analysis under Finite Population
    Worst case performance: random access protocols under single buffer assumption
    System stability analysis under infinite buffer assumption
    Advanced topic: group testing and multi-access communications
    Cross-Layer Design in Multi-access Communications
    Achieving multi-packet reception at the physical layer
    Impact of multi-packet reception on the performance of the MAC layer
    Joint design of the physical and MAC layers
    Information Theoretic Analysis of Multi-Access Communications
    Review of information theory
    Capacity region of multi-access communication channel
    Encoding/decoding schemes
    Advanced topic: multi-access with correlated sources
  • EEC 263 – Optimal And Adaptive Filtering [Info]
  • Units: 4 (3 Lecture/1 Discussion)
    Prerequisites: EEC 260
    Catalog Description: Geometric formulation of least-squares estimation problems. Theory and applications of optimum Weiner and Kalman filtering. MAP and maximum likelihood estimation of hidden Markov models, Viterbi algorithm. Adaptive filtering algorithms, properties, and applications.
    Expanded Course Description:
    Geometric Formulation of Linear Least-Squares Estimation
    Euclidean space
    Least-squares estimation
    Hilbert space of random variables
    Orthogonality principle of linear least-squares estimates
    Wiener Filtering
    FIR Wiener filters
    Levinson recursions, lattice filters
    Noncausal Wiener filters
    Causal Wiener filters: Wiener-Hopf equation, spectral factorization, innovations process
    Kalman Filtering
    Gauss-Markov state-variable models
    Innovations process, Kalman Recursions
    Steady-state behavior of Kalman filters
    Square-root algorithms
    Smoothing formulas
    Estimation of hidden Markov models
    Markov chains observed in noise
    MAP estimation and maximum likelihood sequence estimation, Viterbi algorithm
    Applications
    Adaptive filtering
    Gradient method for FIR filtering
    LMS algorithm, convergence and steady-state performance
    Method of least-squares and RLS algorithm
    Fast and square-root RLS algorithms
    Applications: equalization, notch filtering, echo cancellation, antenna beamforming
  • EEC 264 – Estimation And Detection Of Signals In Noise [Info]
  • Units: 4 (3 Lecture; 1 Discussion)
    Prerequisites: EEC 260
    Catalog Description: Introduction to parameter estimation and detection of signals in noise. Bayes and Neyman-Pearson likelihood-ratio tests for signal detection. Maximum-likelihood parameter estimation. Detection of known and Gaussian signals in white or colored noise. Applications to communications, radar, signal processing.
    Expanded Course Description:
    Hypothesis Testing (2 weeks)
    Bayesian likelihood ratio tests for binary decisions
    Receiver operating characteristic
    Non-Bayesian minimax and Neyman-Pearson tests
    M-ary hypothesis testing
    Parameter Estimation (2 weeks)
    Bayesian, maximum a posteriori, and maximum-likelihood estimation of parameter vectors
    Cramer-Rao lower bound, bias, efficient estimates
    Linear least-squares estimation and its geometric interpretation
    Orthogonal Expansion of Gaussian Processes (1 week)
    Orthogonal expansion of deterministic signals
    Karhunen-Loeve expansion of discrete and continuous-time Gaussian processes
    Detection of Known Signals (2-1/2 weeks)
    Detection of known signals in white Gaussian noise (WGN)
    Sufficient statistics
    Correlator and matched filter receiver implementations
    Performance evaluation
    M-ary detection in WGN
    Detection of known signals in colored noise: resolvent and whitening filter approaches.
    Detection of Signals with Unknown/Random Parameters (2 weeks)
    Detection of signals with unwanted parameters. Composite hypothesis testing
    Estimation of waveform parameters in noise
    Application to the estimation of pulse amplitude and delay and sinewave amplitude, phase and frequency.
    Joint estimation and detection, generalized likelihood ratio test (GLRT)
    Detection of signals with random parameters. Detection of signals with incoherent phases and/or random amplitudes. Envelope detectors
    Detection of Gaussian Signals in WGN (1/2 week)
    Generalized correlator receiver structure for detecting Gaussian signals in WGN
  • EEC 265 – Principles Of Digital Communications [Info]
  • Units: 4 (4 Lecture)
    Prerequisites: EEC 165, EEC 260, or consent of instructor
    Catalog Description: Introduction to digital communications. Coding for analog sources. Characterization of signals and systems. Modulation and demodulation for the additive Gaussian channel. Digital signaling over bandwidth constrained linear filter channels and over fading multipath channels. Spread spectrum signals.
    Expanded Course Description:
    Coding for Analog Sources
    Pulse amplitude modulation
    Differential pulse amplitude modulation
    Delta modulation
    Linear predictive coding
    Vector quantization
    Characterization of Signals and Systems
    Bandpass signals and systems
    Orthogonal expansions for finite energy signals
    Linear, memoryless modulation methods (PAM, PSK, QAM)
    Nonlinear modulation methods with memory (CPM, CPFSK, MSK, GMSK)
    Baseband signals
    Spectral characteristics
    Modulation and Demodulation for the Additive Gaussian Channel
    Characterization of signal waveforms
    Optimum demodulation for completely known signals and probabilities of error
    Optimum demodulation for signals with random phase and probabilities of error
    Carrier and symbol synchronization
    Digital Signaling over Bandwidth Constrained Linear Filter Channels
    Characterization of band-limited channels
    Signal design for band-limited channels and partial response signals
    Optimum demodulation for intersymbol interference and additive Gaussian noise
    Linear equalization
    Decision-feedback equalization
    Maximum-likelihood sequence estimation and the Viterbi algorithm
    Recursive least-squares algorithms for adaptive equalization and the Kalman algorithm
    Echo cancellation
    Digital Signaling over Fading Multipath Channels (optional)
    Characterization of fading multipath channels
    Diversity techniques
    Spread Spectrum Signals for Digital Communications (optional)
    Direct sequence spread spectrum signals
    Frequency-hopped spread spectrum signals
  • EEC 266 – Information Theory And Coding [Info]
  • Units: 4 (3 Lecture; Project)
    Prerequisites: STA 120; EEC 161
    Catalog Description: Information theory and coding. Measure of information. Redundancy reduction encoding of an information source. Capacity of a communication channel, error-free communications.
    Expanded Course Description:
    Topics are from an introduction to error-correction codes, channel capacity for continuous channels and source coding with a fidelity criterion.
    Information and Sources – The definition of information, the zero memory information source, the Markov information source.
    Properties of Codes – Uniquely decodable codes, prefix codes, conditions for existence, Huffman codes.
    The Coding of Information Sources – The average length of a code, the information rate of a source, compact codes, the redundancy of a code.
    Noisy Channels and Mutual Information – Probability relations in a channel, channel capacity for discrete channels, Channel Coding Theorem.
  • EEC 269A – Error Correcting Codes: Algebraic Approach [Info]
  • Units: 4 (4 Lecture) 
    Time: Spring Quarter (Odd years)
    Prerequisites: MAT 22A (linear algebra)
    Catalog Description: Introduction to the theory and practice of algebraic codes, linear block
    codes, cyclic codes, decoding algorithms, coding techniques.
    Expanded Course Description:
    Block Codes: Channel Coding Systems, Types of Channels, Hamming Distance, Encoding
    and Decoding, Bounds on Code Parameters
    Fields and Vector Spaces: Groups, Finite Fields, Vector Spaces and Matrices over Finite
    Fields
    Linear Block Codes: Definition, Generator Matrices and Encoding, Parity-Check Matrices
    and Decoding, Hamming Codes, Reed-Muller Codes
    Structure of Finite Fields: Polynomials, Construction of Finite Fields, Minimal
    Polynomials, Primitive Elements and Polynomials
    Cyclic Codes: Definition, Generator and Parity Polynomials, Encoding and Decoding of
    Cyclic Codes, Existence of Cyclic Codes, BCH Bound
    BCH and Reed-Solomon Codes: Definitions, Berlekamp-Massey Algorithm, Euclid
    Algorithm
    Coding Techniques: Puncturing and shortening of codes, Interleaving, Concatenation,
    Product Codes, Applications of codes
    Optional Topics: List Decoding of Reed-Solomon Codes, Codes with Locality
  • EEC 269B – Error Correcting Codes II [Info]
  • Units: 3 (3 Lecture)
    Prerequisites: EEC 165 and EEC 269A
    Catalog Description: Introduction to convolutional codes, turbo codes, trellis and block coded modulation codes, soft-decision decoding algorithms, the Viterbi algorithm, reliability-based decoding, trellis-based decoding, multistage decoding.
    Expanded Course Description:
    Trellises for Linear Block Codes
    Finite-state Machine Models and Trellis Representation
    Bit-level trellises
    Complexity
    Reliability-Based Soft-Decision Decoding Algorithms for Linear Block Codes
    Soft-Decision Decoding
    Reliability Measures
    Generalized Minimum-Distance and Chase Decoding Algorithms
    Iterative reliability-based decoding
    Convolutional Codes
    Introduction
    Encoding
    Structural Properties, Distance Properties
    Punctured Convolutional Codes
    Tail Biting Convolutional Codes
    Trellis-Based Decoding Algorithms for Convolutional Codes
    The Viterbi Algorithm
    Performance Bounds
    Construction of Good Codes
    Implementation of the Viterbi Algorithm
    Differential Viterbi Decoding Algorithm
    Decoding of Tail Biting Convolutional Codes
    The MAP Decoding Algorithm
    Concatenated Codes with Inner Convolutional Codes
    Trellis-Based Soft-Decision Decoding Algorithms for Linear Block Codes
    The Viterbi Decoding Algorithm
    Recursive Maximum Likelihood Decoding Algorithm
    Multistage Decoding
    The MAP Decoding Algorithm
    Turbo Coding
    Introduction
    Distance Properties
    Performance Analysis
    Parallel and Serial Concatenated Turbo Codes
    Iterative Decoding
    Trellis Coded Modulation
    Introduction
    Construction
    Performance Analysis
    Rotationally Invariant TCM
    Multidimensional TCM
    Block Coded Modulation
    Distance
    Multilevel Block Coded Modulation
    Multistage Decoding
  • EEC 270 – Computer Architecture [CE]
  • Units: 4 (3 Lecture)
    Prerequisites: EEC 170 or ECS 154; undergraduate students who received an A or A- in EEC 170 or ECS 154B are encouraged to take this course.
    Catalog Description: Introduction to modern techniques for high-performance single and multiple processor systems. Topics include advanced pipeline design, advanced memory hierarchy design, optimizing pipeline and memory use, and memory sharing among multiprocessors. Case studies of recent single and multiple processor systems.
    Expanded Course Description:
    The goals of this course are to introduce students to the architecture and software techniques that are used for state-of-the-art processors to achieve high performance.
    Instruction Sets and Addressing Modes
    Architecture Types
    Addressing Modes
    Operation Types
    Instruction Encoding
    Pipelining
    Data Hazards
    Structural Hazards
    Control Hazards
    Advanced Pipelining
    Static instruction scheduling
    Dynamic instruction scheduling
    Branch Prediction
    Issuing Multiple instructions
    Loop unrolling and software pipelining
    Predicated execution
    Memory-Hierarchy Design
    Reducing cache misses
    Reducing cache-miss penalty
    Multiprocessors
    Centralized shared memory
    Distributed shared memory
    Synchronization and memory consistency
  • EEC 272 – High-Performance Computer Architecture and Implementation [CE]
  • Units: 4 (4 Lecture; 1 Discussion)
    Prerequisites: EEC 270 or ECS 201A
    Grading: Letter; based on exam (30%), homework (40%) term paper (20%) and presentation (10%)
    Catalog Description: Designing and analysis of high performance computer architecture with emphasis on vector processing, on-chip interconnect networks, chip-level multiprocessors, memory and storage subsystem design and impact of technological advances on computer architecture.
    Expanded Course Description:
    CMOS Scaling and the Power Wall
    Vector Processing
    On Chip Interconnection Networks
    Memory Subsystem
    Storage Subsystem
    Transactional Memory
    Computer Architecture for Datacenter Applications
    Emerging Technologies such as Flash, PRAM, MRAM, optics and their impact on computer architecture
  • EEC 273 – Networking Architecture and Resource Management (Cross-listed with ECS 258) [Info, CE]
  • Units: 4 (3 Lecture; Project) 
    Prerequisites: ECS 152A or EEC 173A; ECS 252 recommended.
    Catalog Description: Design and implementation principles of networking architecture and protocols. Internet, ATM, and telephony case studies. Topics: Internet technology; application and services; resource management; Quality of Service (QoS) provisioning; traffic engineering; performance evaluation and future research issues.
    Expanded Course Description:
    Students work individually or in small groups on course projects that contribute to 40% of the course. The project should demonstrate quality, significance, and in-depth knowledge of the scope of the topics covered in the course. One unit of the independent study should be used for advanced reading that will be assigned in class. The project may involve: (1) conducting thorough survey of an advanced topic, or (2) proposing/designing of a new protocol or extension of an existing one followed by its evaluation (via analysis, simulation or experiment). Students therefore gain hands-on experience in network protocol design, development and analysis.
    Network architecture: the big picture
    Circuit switching vs. packet switching
    End-to-end arguments
    Separation of control & data planes; signaling (hard state vs. soft state)
    Telephony – Circuit-switched architecture
    Space and time-division circuit switches
    Strict-sense vs. rearrangably non-blocking
    Internet: Packet-switched architecture
    IP and routing hierarchy (intra-domain vs. inter-domain routing)
    Border Gateway Protocol (BGP) and policy-based routing
    Multicast routing
    Evolving Internet Architecture and Quality of Service (QoS)
    Application vs. Network based solutions
    Differentiated Service and Integrated Service QoS architecture
    Control-plane mechanisms, e.g., admission control, QoS routing
    Data-plane mechanisms
    Packet schedulers, e.g., weighted fair queuing (WFQ)
    Active queue management, e.g., random early detection (RED)
    Protocol mechanisms (commonly found techniques in networking protocols
    Signaling
    Randomization
    Indirection
    Multiplexing
    Virtualization
    Scalability
    Network Resource Management
    Capacity planning
    Traffic engineering
    Network flows, optimal link-weight assignment problem
    Advanced Topics
    Internate measurements, modeling, and inferences
    Application and services (peer-to-peer, overlay)
    Network security
    Multimedia networking
  • EEC 274 – Internet Measurements, Modeling and Analysis [Info]
  • Units: 4 (3 Lecture; Project) 
    Prerequisites: ECS 273 or ECS 252
    Catalog Description: Advanced topics in the theoretical foundations of network measurements, modeling, and statistical inferencing. Applications to Internet engineering, routing optimization, load balancing, traffic engineering, fault tolerance, anomaly detection, and network security. Individual project requirement.
    Expanded Course Description: 
    The course introduces the key methodologies and techniques to (a) collect, visualize, analyze, and model empirical measurements, (b) test a hypothesis, (c) formulate and optimize Internet engineering solutions (e.g., applied to routing, load balancing, etc.), and (d) characterize the performance and design trade-offs of network protocols and architectures. An individual project will contribute up to 40% of the course grade. The project will demonstrate quality, significance, and in-depth knowledge of the scope of the topics covered in the course. Through reading and critique of assigned published papers in this area, the students will learn how to formulate a research problem, choose a specific approach, and design experiments for performance evaluation. The students will be exposed to both analytical (e.g. multiple time-scale analysis, linear algebra, stochastic processes) and software tools (e.g. OPNET, ns-2, VxWorks) that are used in networking research.
    Network measurements
    Active vs. passive measurements
    Characterization of Internet traffic and network performance
    Statistical sampling and inference techniques
    Routing
    Characterization of routing instability and impact on traffic
    Protocol enhancements (deflection routing, etc.)
    Policy/constraint-based routing
    Traffic Engineering
    Intra-domain (Intermediate System-Intermediate System/Open Shortest Path First (IS-IS/OSPF) weight assignment, etc.)
    Inter-domain (Overlay architecture, Border Gateway Protocol (BGP) enhancements, etc.)
    Network Tomography
    Traffic matrix estimation
    Network Security
    Anomaly detection
    Modeling Internet worm propagation
    Other Topics
    Data anonymization
    Geographic mapping
  • EEC 276 – Fault-Tolerant Computer Systems: Design and Analysis [CE]
  • Units: 4 (3 Lecture; 1 Discussion) 
    Prerequisite: EEC 170, EEC 18
    Catalog Description: Introduces fault-tolerant digital system theory and practice. Covers recent and classic fault-tolerant techniques based on hardware redundancy, time redundancy, information redundancy, and software redundancy. Examines hardware and software reliability analysis, and example fault-tolerant designs. Offered in alternate years.
    Expanded Course Description:
    Fault-Tolerant Computing Overview
    Fundamental concepts
    Nomenclature
    Fault taxonomy, fault manifestation
    Fault Tolerance Techniques
    Hardware Redundancy
    Duplication, self-checking
    NMR
    Hybrid
    Information Redundancy
    Single correcting, double detecting codes
    Cyclic block codes
    Residue arithmetic
    Self-checking checkers
    Time Redundancy
    Duplication
    Recomputation methods
    Software Redundancy
    Design diversity/N-version programming
    Recovery blocks
    Hybrid Redundancy
    Algorithm-based fault tolerance
    Watchdog monitoring/signature monitoring
    Reliability Analysis
    Failure probability distributions
    System modeling
    Stochastic analysis, Markov chains
    Availability (mean-time-to-failure, mean-time-to-repair)
    Fault-Tolerance in Commercial Systems
    Hardened Processors (RH32, RH6000, RH3000)
    HAL SPARC64
    Tandem
    Air Bus
  • EEC 277 – Graphics Architecture [CE]
  • Units: 3 (3 Lecture)
    Prerequisites: EEC 170 or ECS 154B; ECS 175
    Catalog Description: Design and analysis of the architecture of computer graphics systems. Topics include the graphics pipeline with a concentration on hardware techniques and algorithms, exploiting parallelism in graphics and case studies of noteworthy and modern graphs architectures.
    Expanded Course Description:
    The goal of this course is to introduce students to the fundamentals and issues in the design and analysis of high-performance computer graphics systems.
    The assigned homework and projects in this class will contain significant design elements (development of architectural changes and additions to the software and hardware components of a graphics system) together with the evaluation and analysis of these changes and additions in student projects and in studies of existing modern graphics systems. The course includes a large open-ended design project.
    Graphics Fundamentals
    Graphics workloads
    Performance analysis and characterization
    The Graphics Pipeline
    Geometry
    Rasterization
    Texturing
    Framebuffers and displays
    Parallelism and Communication
    Classification of parallel rendering
    Programmability in Graphics
    Case Studies
    Open Graphics Language
    RenderMan
    PixelFlow and PixelPlanes
    Silicon Graphics Inc. RealityEngine
    Pomegranate
    Chromium
    Project Presentations
  • EEC 279 –  Modern Parallel Computing [CE]
  • Units: 3 (3 Lecture) 
    Prerequisites: Required: ECS 34 or 36B; optional but desirable: EEC 170 or ECS 154a
    Catalog Description: Exploration of the architecture of modern parallel computers, their programming models, and their programming systems.
    Expanded Course Description:
    This course focuses on modern parallel computing and for this particular offering of the course, GPU computing. We will explore using the programmable GPU as a parallel computer, primarily using the CUDA programming language (an extension to C/C++). We will cover the architecture of the GPU and its programming model; the CUDA programming language; fundamental data structures and algorithms on the GPU; numerous application domains and how they can be expressed on the GPU; programming models and high-level languages for GPU computing; and current research challenges in GPU computing. We expect that students who successfully complete this course will be ready to use GPU computing in their own projects and research, and/or be ready to conduct GPU-computing research on their own. We assume that students who take the course will have experience using the C/C++ programming language; prior experience in any of computer architecture, computer graphics, algorithms, and data structures will also be useful. All assignments will use C/C++. The assigned projects in this class will contain significant design elements that allow students to design and implement parallel solutions to computationally challenging problems, to analyze and improve their performance, and to use these solutions to understand the architectures, programming models, and programming systems of these modern parallel computers.
    History of modern parallel computing
    Hardware predecessors (vector machines, massively parallel machines, graphics processors)
    Software predecessors
    Architecture of modern parallel processors
    Programming model of modern parallel processors
    Programming systems for modern parallel processors
    Fundamental parallel primitives
    Survey of computational motifs (“dwarfs”) and parallel implementation strategies
    Optimization techniques
    Heterogeneity and multi-node issues
    Application case studies
    Future directions
  • EEC 281 – VLSI Digital Signal Processing [CE, Info]
  • Units: 4 (3 Lecture)
    Prerequisites: EEC 150B, EEC 170, EEC 180, or consent of instructor
    Catalog Description: Digital signal processors, building blocks, and algorithms. Design and implementation of processor algorithms, architectures, control, functional units, and circuit topologies for increased performance and reduced circuit size and power dissipation.
    Expanded Course Description:
    The primary goal of this course is to develop the necessary skills for students to design simple digital signal processors with an emphasis on the efficient simultaneous design of algorithms, processor architectures, and hardware design.
    Digital signal processing overview
    DSP workloads
    Example applications
    Programmable processors
    Processor building blocks
    Verilog hardware description language
    Binary number representations
    Carry-propagate adders
    Carry-save adders
    Multipliers
    Fixed-input multipliers
    Complex arithmetic hardware
    Memories
    DSP algorithms and systems
    FIR filtering
    Processor control and data-path integration
    Multi-rate signal processing
    Example systems: FFT, Viterbi, DSSS, CDMA, etc.
    Design optimization
    Verilog synthesis to a gate netlist
    Delay estimation and reduction
    Area estimation and reduction
    Power estimation and reduction
  •  EEC 283 – Advanced Design Verification Of Digital Systems [CE]
  • Units: 4 (3 Lecture) 
    Prerequisites: EEC 170; (EEC 018 or EEC 180A)
    Catalog Description: Design verification techniques for digital systems; simulation-based design verification techniques; formal verification techniques, including equivalence checking, model checking, and theorem proving; timing analysis and verification; application of design verification techniques to microprocessors.
    Expanded Course Description:
    Introduction
    System Development
    Faults and Errors
    Lifetime Verification
    Design Verification Methodology
    Simulation-Based Design Verification
    Logic, Fault, and Error Simulation
    Hardware Emulation
    Design Error Modeling
    Automatic Test Generation
    Formal Verification
    Theorem Proving
    Equivalence Checking
    Model Checking
    Timing Analysis and Verification
    Case Studies of Microprocessor Verification
    The project in EEC 283 is a significant part of the course that is conducted outside the classroom. Possible projects include the following:Writing a survey of research work on a topic related to the course. This involves a library search to collect recent publications, reading and criticizing them, and finally writing a summary about them. Evaluating a design verification tool by first studying it and then developing test cases that show the strengths and weaknesses of the tool. Writing a report documenting the tool evaluation is the final outcome of this project. Developing a CAD tool that implements a known verification algorithm. This involves a study of the verification algorithm first and then implementing it using a programming language. This is followed by testing the code and then writing a report about the tool and a user-manual.
  • EEC 284 – Design and Optimization of Embedded Computing Systems [CE]
  • Units: (4 Lecture)
    Prerequisites: EEC 170; EEC 180; or consent of instructor; ECS 122A recommended
    Catalog Description: Introduction to design and optimization of digital computing systems for embedded applications. Topics include combinatorial optimization techniques, performance and energy optimization in embedded systems, compilation and architecture-specific mapping, programmable and reconfigurable platforms; design automation and algorithmic improvements to design process.
    Expanded Course Description:
    Introduction
    Embedded computing systems
    Optimization techniques
    Target models of computations
    Combinatorial Optimizations
    Complexity and NP-completeness
    Approximation algorithms
    Graph algorithms overview
    Shortest path
    Network flow
    Graph coloring, cliques, independent sets
    Randomized and online algorithms
    Continuous vs. discrete optimization
    Linear and Integer Programming
    Convex optimization
    Design and Optimization of Embedded Systems
    Architectures and platforms
    Operating systems, compilers and virtual machines
    Realtime systems
    Worst-case execution time estimation
    Soft vs. hard realtime systems
    Scheduling
    Task scheduling
    Communication scheduling
    Voltage scheduling
    Partioning
    Min-cut partitioning
    Min-quotient partitioning
    Temporal partioning
    Hardware synthesis
    High-level synthesis
    Implementation selection
    Programmable and reconfigurable platforms
    Compilation and code generation
    Overview of classic transformations
    Memory access optimizations
    Template generation and matching
    Compilation for reconfigurable computers
    Networked Embedded Systems
    Collaborative applications
    Code migration
    Incremental analysis
  • EEC 286 – Introduction To Digital System Testing [CE]
  • Units: 4 (3 Lecture; Project)
    Prerequisites: EEC 180, STA 120 or  STA 131A
    Catalog Description: A review of several current techniques used to diagnose faults in both combinational and sequential circuits. Topics include path sensitization procedures, Boolean difference, D-algorithm random test generation, TC testing and an analysis of the effects of intermittent faults. (Offered in even years.)
    Expanded Course Description:
    Fault Models
    Fault Detection in Combinational Circuits
    Boolean Difference
    Path Sensitization
    D-Algorithm
    Boolean Real Transform
    Fault Location Algorithms
    Special Fault Conditions
    Multiple Faults
    Redundant Circuits
    Bridging Faults
    Intermittent Faults
    Fault Detection in Sequential Circuits
    Extended D-Algorithm
    Critical Path Analysis
    Asynchronous Circuits
    Other Approaches to Testing
    Memory Testing
    Random Test Generation
    TC Testing
  • EEC 289A-W – Special Topics In Electrical Engineering And Computer Science
  • Units: Variable
    Prerequisites: Consent of instructor
    Catalog Description: N/A
    Expanded Course Description:
    Special topics in:
    A. Computer Science
    B. Programming Systems
    C. Digital Systems
    D. Communications
    E. Signal Transmission
    F. Digital Communication
    G. Control Systems
    H. Robotics
    I. Signal Processing
    J. Image Processing
    K. High-Frequency Phenomena and Devices
    L. Solid-State Devices and Physical Electronics
    M. Systems Theory
    N. Active and Passive Circuits
    O. Integrated Circuits
    P. Computer Software
    Q. Computer Engineering
    R. Microprocessing
    S. Electronics
    T. Electromagnetics
    U. Optoelectronics
    W. Computer Networks
    May be repeated for credit when topic is different
  • EEC 290 – Seminar In Electrical And Computer Engineering
  • Units: 1 (1 Seminar)
    Prerequisites: N/A
    Catalog Description: Discussion and presentation of current research and development in Electrical and Computer Engineering. May be repeated for credit.
    Expanded Course Description: N/A
  • EEC 290C – Graduate Research Group Conference In Electrical And Computer Engineering
  • Units: 1 (1 Discussion)
    Prerequisites: Consent of instructor
    Catalog Description: Research problems, progress and techniques in Electrical and Computer Engineering. May be repeated for credit.
    Expanded Course Description: N/A
  • EEC 290P – Capstone Project For MS Plan II
  • Units: 4 (1 Lecture) 
    Prerequisites: Consent of instructor
    Catalog Description: Conducting research projects in electrical and computer engineering. Communicating research results in written reports and oral presentations. Systemic project implementation to answer a comprehensive scientific or technical question in the area of electrical and computer engineering.
    Expanded Course Description:
    In this course, students will complete a project or an exercise to fulfill the capstone requirements for MS Plan II in the ECE Graduate Program.
    The requirements for the project will follow the guidelines in CCGA Handbook Appendix I (August 2016 Edition) which are summarized below.
    (1) Capstone projects will be synthetic, tying together two or more areas of specific content that would typically be the subject of a class or a sequence of classes
    (2) Capstone projects will be individual or group-based exercises. If a project is group-based, the individual student’s achievements and contributions will be assessed through robust means. This could be detailed, for example, through the generation of an individual report by the student, periodic performance evaluations at various points in the project, individual assignments, and/or comprehensive specification of the individual team member’s role that can be tied to specific outcomes in a group report. 
    (3) The report will  be evaluated by at least two reviewers; and at least one of them should have no direct vested interest in the success of the student (e.g., the student is not the reviewer’s GSR or collaborator).
    Students will learn how to implement a project systematically, to answer a comprehensive scientific/technical question in the area of Electrical and Computer Engineering.
    The project could be
    Hardware or software implementation of an algorithm or application to solve a particular problem including reproducing the results of a published research paper  OR
    Critical analysis of proposed solutions to particular problem from research literature.
    In addition, students will learn how to communicate the details of the project and their key findings in the form of
    an oral presentation AND
    a technical paper that meets the requirements and guidelines of ACM or IEEE conference submissions for peer review.
  • EEC 291 – Solid-State Circuit Research Laboratory Seminar [PE]
  • Units: 1 (1 Seminar)
    Prerequisite: Graduate standing
    Catalog Description: Lectures on solid-state circuit and system design by various visiting experts in the field. May be repeated for credit.
    Expanded Course Description: N/A
  • EEC 292 – Seminar in Solid-State Technology [PE]
  • Units: 1 (1 Seminar)
    Prerequisites: Graduate standing
    Catalog Description: Lectures on solid-state circuit and system design by various visiting experts in the field. May be repeated for credit.
    Expanded Course Description: N/A
  • EEC 293 – Computer Engineering Research Seminar [CE]
  • Units: 1 (1 Lecture) 
    Prerequisites: Graduate standing or consent of instructor
    Catalog Description: Lectures, tutorials, and seminars on topics in computer engineering.
    Expanded Course Description: N/A
  • EEC 294 – Image, Video And Computer Vision [CE, Info]
  • Units: 1 (1 Seminar)
    Prerequisites: Graduate standing
    Catalog Description: Course is a research seminar. There will be lectures, tutorials, and seminars on image processing, video engineering, and computer vision. May be repeated for credit.
    Expanded Course Description: N/A
  • EEC 295 – Systems, Control And Robotics Seminar [Info, Bio]
  • Units: 1 (1 Seminar)
    Prerequisites: Graduate standing
    Catalog Description: Seminars on current research in systems and control by faculty and visiting experts. Technical presentations and lectures on current topics in robotics research and robotics technology. May be repeated for credit.
    Expanded Course Description: N/A
  • EEC 296 – Photonics Research Seminar [Pho]
  • Units: 1 (1 Seminar)
    Prerequisites: Graduate standing
    Catalog Description: Lectures on photonics and related areas by faculty and visiting experts. May be repeated for credit.
    Expanded Course Description:
    This course is intended to provide a forum for students to learn about and discuss the latest advances in the areas of photonics, lasers, electro-opitcs, electro-optical materials, fiber-optics, and optical engineering by inviting leading researchers in these fields to give seminars.
  • EEC 298 – Group Study
  • Units: Variable 
    Prerequisites: Consent of instructor
    Catalog Description: Group study.
    Expanded Course Description: N/A
  • EEC 299 – Research
  • Units: Variable
    Prerequisites: N/A
    Catalog Description: Research.
    Expanded Course Description: N/A
  • EEC 390 – The Teaching Of Electrical And Computer Engineering
  • Units: 1 (1 Discussion)
    Prerequisites: Meet qualifications for Teaching Assistant and/or Associate-In in Electrical and Computer Engineering
    Catalog Description: Participation as a Teaching Assistant or Associate-In in a designated engineering course. Methods of leading discussion groups or lab sections, writing and grading quizzes, use of lab equipment, and grading lab reports. May be repeated for credit.
    Expanded Course Description: N/A
  • EEC 396 – Teaching Assistant Training Practicum
  • Units: 1 - 4 
    Prerequisites: Graduate standing
    Catalog Description: Teaching Assistant Training Practicum
    Expanded Course Description:
    Active Teaching Assistants will be allowed to enroll in the variable-unit course, allowing registration from one to four units of credit to fill out their unit requirements.  May be repeated for credit.

Courses On Hold as of 2022

  • EEC 119A/B – Integrated Circuit Design Project
  • Units: A - 3; B - 3 (1 Workshop; A - 5 Laboratory; B - 3 Laboratory)
    Prerequisites:  A - EEC 116 or EEC 118; B - 119A
    Catalog Description:
    Design course involving architecture, circuit design, physical design, and validation through extensive simulation of a digital or mixed-signal integrated circuit of substantial complexity under given design constraints. GE Credit: SE
    Expanded Course Description:
    This course involves the architecture, circuit design, physical design, and validation of an integrated circuit using contemporary computer-aided design (CAD) tools and simulators. Circuit functionality may include sensor interfaces and signal processing, multimedia processing, or large memories and may be taken from a variety of application domains such as biomedical, automotive, or general-purpose computation. The team is given an integrated circuit design problem which must be solved under realistic constraints such as area and power. The project will involve circuit and physical design (layout) of functional units such as arithmetic-logic units (ALUs), multiply-accumulate (MAC) blocks, and memories, and may also include analog circuit blocks such as phase-locked loops (PLLs) for clock generation or voltage regulators for power supply generation. The team will develop and implement a validation plan which will verify their design through extensive simulation. Projects will be evaluated in part based on the completeness and correctness of the design, the performance of each design, and possibly other design attributes (e.g., energy per operation, noise tolerance, etc.). A team project report will be submitted that describes the architecture, design, and validation through simulation of the IC. The report will include a Product Analysis section. This section will evaluate the potential of the integrated circuit as a commercial product as both a stand-alone, packaged component or as an intellectual property (IP) module which can be incorporated into larger systems-on-chip (SoCs). This section will also consider various real-world design constraints that would be imposed on the commercial product, including market analysis, standards-based interfaces, and yield analysis. Each project in this sequence involves at least three of the following disciplines: semiconductor devices, digital system and logic design, digital circuit design, analog circuit design, VLSI design, and digital system testing.
  • EEC 152 – Digital Signal Processing
  • Units: 4 (2 Lecture; 6 Laboratory)
    Prerequisites: EEC 70, EEC 151
    Catalog Description: Theory and practice of real-time digital signal processing. Fundamentals of real-time systems. Programmable architectures including I/O, memory, peripherals, interrupts, DMA. Interfacing issues with A/D and D/A converters to a programmable DSP. Specification driven design and implementation of simple DSP applications.  GE Credit: SE
    Expanded Course Description:
    Students work in groups of two in the laboratory. Lab projects will involve the design, implementation, test and evaluation of real-time DSP systems using typically a TI C6713 DSP processor. There are many possible solutions to the lab projects and groups will have to make many engineering decisions during the design phases. System modeling and simulation will be carried out in Matlab prior to implementing the design on the DSP processor hardware.
    I. Introduction to Digital Signal Processors
         A. DSP processor architectures
         B. DSP tools (Code Composer Studio)
         C. Input and output considerations
    II. Real-Time Digital Signal Processor Programming Techniques
         A. Polling
         B. Interrupts
         C. DMA
         D. Multi-tasking with pre-emptive task scheduler (e.g. DSP/BIOS)
    III. Hardware Interfacing to Digital Signal Processor
         A. Review of Sampling, Quantization and Signal Reconstruction
         B. A/D converter operation
         C. D/A converter operation
    IV. Implementation of DSP Applications
         A. Finite Impulse Response (FIR) filters
         B. Infinite Impulse Response (IIR) Filters
         C. Fast Fourier Transform
         D. Adaptive filters
  • EEC 146B – Advanced Integrated Circuits Fabrication
  • Units: 3 (2 Lecture; 3 Laboratory)
    Prerequisites: EEC 146A
    Catalog Description: Fabrication processes for CMOS VLSI. Laboratory projects examine deposition of thin films, ion implantation, process simulation, anisotropic plasma etching, sputter metallization, and C-V analysis. Topics include isolation, projection alignment, epilayer growth, thin gate oxidation, and rapid thermal annealing. GE Credit: SE
    Expanded Course Description:
    I. VLSI Processes
         A. Low-pressure chemical vapor deposition (LPCVD)
         B. Silicon, silicon dioxide, and silicon nitride thin films
         C. Device isolation by local isolation (LOCOS) and trench
         D. Epitaxial layer growth, film thickness optimization
         E. Vacuum systems: selection, design, and application
         F. Glow discharge processing
         G. Isotropic plasma etching, reactive ion etching (RIE), ion milling
         H. Ion implantation and graded impurity profiles
         I. Projection alignment and stepping
         J. Submicron structure processing using electron beam lithography
         K. Mid-UV proximity alignment
         L. Mask making for different aligner sources
         M. Thin gate dielectric growth
         N. Rapid thermal annealing. criteria for selecting run times
         O. Sputter deposition of metals and silicide formation
         P. Factors pertaining to formulating new processes
    II. Process Characterization Techniques
         A. Van der Pauw structures, Hall devices, and data interpretation techniques
         B. C-V and C-t analysis of MIS diodes
         C. Process simulation using SUPREM, energy and range selection criteria
         D. Step profilometry
         E. Light and dark field and interference-contrast optical microscopy
         F. Spreading resistance measurement
         G. Mass spectrometry and RGA end point detection
         H. Chemical defect etching
    III. Reliability Issues
         A. Electromigration elimination
         B. Hot carrier degradation and practical solutions
         C. Bird’s beak
         D. Alpha-hit protection
         E. Latchup
         F. Body effect
         G. Punch-through
         H. Practical methods for eliminating failure mechanisms
  • EEC 173B – Design Projects In Communication Networks
  • Units: 4 (3 Lecture; 1 Discussion)
    Prerequisites: EEC 173A or ECS 152A
    Catalog Description: Advanced topics and design projects in communication networks. Example topics include wireless networks, multimedia networking, network design and management, traffic analysis and modeling, network simulations and performance analysis. Offered in alternate years. Cross-listed with ECS 152C.  GE Credit: SE
    Expanded Course Description:
    This undergraduate course intends to illustrate the design, management and operational principles of telecommunication networks. Students have weekly lab assignments to reinforce the concepts and provide hands-on-experience. By the end of the quarter, the students will be able to use concepts learned in class to develop systematic approaches to address design problems, including scalability, complexity, and robustness issues of large-scale network systems, properties and configurations of underlying hardware components, heterogeneous channel characteristics and emerging applications. In addition, we also emphasize the training of students in writing and oral communication skills. Students are required to submit written project proposals and reports. They will be asked to make an oral presentation of their projects at the end of the quarter.
    I. Potential Project Topics
         A. Wireless networks/Mobile computing
               i. Mobile IP
               ii. Ad Hoc Routing
               iii. Reliable transport over wireless
         B. Network measurements, design and management
               i. Control vs. data forwarding plan (routing, traffic engineering)
               ii. Simple Network Management Protocol (SNMP)
               iii. Capacity planning; over-provisioning; load balancing
         C. Multimedia networking
               i. Protocols: SIP, RTP/RTCP
               ii. Adaptive streaming
               iii. Receiver design: payout buffer, error concealment
    II. Methodologies
         A. Hands-on experiments and prototyping AND/OR
         B. Simulations
               i. Discrete-time simulator like ns-2 AND/OR
         C. Performance modeling and analysis
               i. Network and traffic models (Poisson, self-similarity, heavy tailed distributions)
               ii. Queuing delay model; Little’s theorem
  • EEC 183 – Testing and Verification of Digital Systems
  • Units: 5 (3 Lecture; 6 Laboratory)
    Prerequisites: EEC 170, EEC 180
    Catalog Description: Computer-aided testing and design verification techniques for digital systems; physical fault testing; simulation-based design verification; formal verification; timing analysis. GE Credit: SE
    Expanded Course Description:
    I. Introduction
         A. System Development
         B. Faults and Errors
         C. Lifetime Verification
         D. Logic Simulation
    II. Physical Fault Testing
         A. Fault Modeling
         B. Fault Simulation
         C. Automatic Test Generation
    III. Simulation-Based Design Verification
         A. Error Simulation
         B. Coverage Metrics
         C. Hardware Emulation
         D. Error Modeling
         E. Automatic Test Generation
    IV. Formal Design Verification
         A. Theorem Proving
         B. Equivalence Checking
         C. Model Checking
    V. Timing Verification
         A. False Paths
         B. Timing Constraints